98 results on '"RTA"'
Search Results
2. Optimization of bulk microdefect performance in epitaxial silicon wafer.
- Author
-
Wang, Jun, Liu, Yun, and Wei, Xing
- Subjects
- *
SILICON wafers , *RAPID thermal processing , *EPITAXY , *CRYSTAL growth , *SEMICONDUCTOR industry - Abstract
• RTA at > 1175 °C significantly improves BMD uniformity. • Post-RTA stabilization before epitaxy increases BMD density and size. • Post-epitaxy RTA with stabilization makes higher BMD density and larger BMD size. Bulk microdefects (BMDs) in epitaxial silicon wafers are pivotal for advanced node integrated circuits, offering enhanced mechanical strength and metal gettering capabilities. This study introduces two heat treatment procedures to optimize BMD density and radial uniformity in lightly doped wafers, addressing the challenges associated with large-diameter crystal growth and the thermal budget constraints of advanced node processes. We demonstrate that Rapid Thermal Annealing (RTA) at temperatures exceeding 1175 °C significantly improves BMD uniformity. A post-RTA stabilization step, when performed prior to epitaxial growth, enhances BMD density by retaining nuclei generated by RTA. Conversely, when the RTA with stabilization step follows epitaxial growth, a higher BMD density and larger BMD size are achieved. Light Scattering Tomography (LST) analysis confirms the optimal conditions for these treatments. The findings contribute to the semiconductor industry by optimizing wafer properties for high-performance ICs. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
3. Elicitation of barrier height of rapid thermal annealed Bi-nSi Schottky photodetector using various methods: A comparative study.
- Author
-
Ismail, Raid A., Hassoon, Khaleel I., and Abdulrazzaq, Omar A.
- Abstract
The feasibility of making Schottky barriers from Bi-nSi diode has been demonstrated. The barrier height (Ø Bn) of the Schottky contact was estimated via four creditable methods: I-V in the dark, I-V under illumination, C-V, and Fowler plot method. Such a study has been done for the first time. Barrier height ranged from 0.74 to 0.78 eV was obtained which is in disagreement with simple theory of Schottky. Rapid thermal annealing under optimum conditions leads to a decrease in the Ø Bn which is in good agreement with the expectations. Results of ideality factor for Bi-nSi revealed that the thermionic emission current is not dominant through this junction. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
4. Laser annealing effects on Ga dopants for ZnO thin films for transparent conducting oxide applications.
- Author
-
Jo, GaeHun and Koh, Jung-Hyuk
- Subjects
- *
LASER annealing , *GALLIUM , *DOPING agents (Chemistry) , *ZINC oxide thin films , *N-type semiconductors - Abstract
Abstract Ga-doped ZnO (GZO) thin films were prepared for transparent conducting oxide (TCO) applications. For the first time, Ga was successfully employed as an n-type dopant in ZnO materials. For the ionization process of the Ga dopant, an optical annealing process with a high energy was employed. The optimized contents of Ga dopants were tested and analyzed for the TCO applications. Additionally, different types of optical annealing processes were employed and tested for device applications. Before the optical annealing process, furnace annealing was performed as a basic process, and then rapid thermal annealing (RTA) or CO 2 laser annealing processes were performed alternately or sequentially. In our experiment, we found that the sequence of the optical annealing process was important for the effectiveness of the annealing owing to the differences in the penetration depth of the wave and the applied energy density. We confirmed that a specific sequential combination of the RTA process and the CO 2 laser annealing process can yield high-quality transparent conducing oxide thin films. GZO thin films post annealed using a sequential RTA and CO 2 laser annealing methods exhibited the lowest conductance and transmittance values. Also, by introduction of Ga dopant, thin film can obtain high thermal and chemical stability due to its low reactivity and strong resistance to oxidation. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
5. Effects of rapid thermal annealing on deep-level defects and optical properties of n-type GaAsBi alloys grown by molecular beam epitaxy at low temperature.
- Author
-
Gelczuk, Łukasz, Kopaczek, Jan, Pucicki, Damian, Rockett, Thomas B.O., Richards, Robert D., and Kudrawiec, Robert
- Subjects
- *
RAPID thermal processing , *MOLECULAR beam epitaxy , *DEEP level transient spectroscopy , *LOW temperatures , *OPTICAL properties , *N-type semiconductors - Abstract
The effects of rapid thermal annealing on the concentration and the type of deep-level defects and their influence on electrical and optical properties of GaAs 1- x Bi x alloys are investigated. The n-type GaAs 1- x Bi x layers, having from 0% to 2.3% Bi, were grown by molecular beam epitaxy on n + -GaAs at substrate temperature of 378 °C and subsequently annealed at a temperature of 700 °C. Deep level transient spectroscopy (DLTS) revealed distinct evolution of deep electron traps and their properties upon annealing. It is shown that annealing distinctly influences both the free electron concentration and the total trap concentration in the GaAsBi layers having increasing Bi content. Moreover, annealing also influences the low temperature photoluminescence (PL) spectra, while little effect is observed in room temperature photoreflectance (PR) spectra, which probes the band gap. Distinguishing and identifying between GaAs host-defects and Bi-related defects is carried out using the GaAsBi band gap diagram, which correlates activation energies of deep level traps in the band gap, accounting for the Bi-induced band gap reduction. On the basis of this approach, we are able to identify the revealed electron traps and assign them to GaAs native defects and impurities or Bi-related ones. • Defect density increases after annealing in samples having increasing Bi content. • Annealing process influence the free electron concentration in GaAsBi alloys. • The use of the GaAsBi band gap diagram makes it possible to distinguish and identify deep-level defects revealed by DLTS. • Distinct changes in the low temperature PL spectra after annealing are well corelated with the change of the defect density. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
6. Gold, copper and gold/copper bimetallic nanoparticles obtained by focused ion beam sputter deposition and rapid thermal annealing.
- Author
-
Landeros, Oscar Perez, Nedev, Nicola, Alvarez, Mario Curiel, Salas, Benjamin Valdez, Barajas, Alejandro, Anzaldo, David Mateos, Nedev, Roumen, and Leon, Abraham Arias
- Subjects
- *
GOLD nanoparticles , *COPPER , *ION beams , *ION beam assisted deposition , *RAPID thermal processing , *SCANNING electron microscopy - Abstract
Abstract Gold, copper and gold/copper thin layers were obtained in FIB/SEM system by Focused Ion Beam sputter deposition. Layers deposited on SiO 2 /Si and quartz substrates were subjected to Rapid Thermal Annealing (RTA) in vacuum at 1100 °C. One minute annealing of 20 nm thick films leads to formation of nanoparticles with average size of ∼20 nm but does not change the morphology of 170 nm Au and 180 nm Cu films. Implantation of Ga ions into SiO 2 /Si substrate before deposition of ∼20 nm Au layers has a strong effect on the nanostructures formed after 1 min annealing. Implantation at 30 kV for 1 and 2 min leads to formation of arbitrary shape nanoislands, which are much larger than the nanoparticles outside of the implanted region. Increase of the implantation time to 4 and 16 min leads to formation of large spheres, the size of which increases with the implantation time. The observed Ga effect is explained by the lower melting temperatures of Au/Ga and Cu/Ga binary alloys and by increased nanoparticle mobility, which favors the coalescence process. Gold nanoparticles with size of ∼20 nm obtained by RTA on control quartz substrate showed local surface plasmon resonance at 535 nm. Graphical abstract Image 1 Highlights • Gold, copper and gold/copper thin layers were obtained by focused ion beam sputter deposition in FIB/SEM system. • Au, Cu and Au/Cu nanoparticles (NPs) with average size of ∼20 nm were obtained by Rapid Thermal Annealing in vacuum at 1100 °C. • Implantation of Ga ions in SiO2/Si substrate favors the NP coalescence process leading to formation of larger nanospheres or nanoislands. • The observed Ga effect could be used to design nanopatterns. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
7. Characterization of internal gettering of copper in the vertical direction of p-type silicon wafer.
- Author
-
Jung, Jung Gyu, Lee, Kisang, Lee, Boyoung, and Lee, Ho Seong
- Subjects
- *
RAPID thermal processing , *TRANSMISSION electron microscopy , *SILICON wafers - Abstract
We determined characteristics of internal gettering of copper in the vertical direction of p-type silicon wafer. Bulk micro-defects (BMDs) caused by oxygen precipitation and the gettering efficiency of Cu along the vertical direction from the surface into the bulk were investigated for Si wafers with and without rapid thermal annealing (RTA) process. Based on scanning infrared microscopy, secondary ion mass spectrometry, and local etching results, the density of BMDs in Si wafers with RTA process was higher than that in Si wafer without RTA process. Depth profile of Cu concentration was strongly related to the BMD density profile in the vertical direction. According to the transmission electron microscopy analysis, Cu impurities were trapped at BMDs through precipitation of copper silicides. It is proposed that the RTA process can improve the internal getterig efficiency of copper in the vertical direction of p-type silicon wafer. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
8. Effect of rapid thermal annealing on bulk micro-defects and plastic deformation in silicon during high temperature processing.
- Author
-
Jung, Jung Gyu, Lee, Kisang, Lee, Boyoung, and Lee, Ho Seong
- Subjects
- *
MATERIAL plasticity , *SILICON wafers , *DISLOCATIONS in crystals , *PRECIPITATION (Chemistry) , *HEAT treatment - Abstract
We studied how rapid thermal annealing (RTA) affects bulk micro-defects (BMDs) and plastic deformation in Si wafers processed at high temperatures. BMDs caused by oxygen precipitation at 1200 °C were investigated in Si wafers as a function of annealing time with and without RTA and pre-annealing. Only the pre-annealed RTA wafer revealed an average BMD size of ~50 nm after annealing at 800 °C for 2 h and subsequently at 1000 °C for 4 h, and such wafers retained BMDs after annealing at 1200 °C for 500 min. The relationship between BMDs and plastic deformation was investigated for Si wafers subjected to RTA at various temperatures. Dislocations were generated and propagated after the simulated CMOS heat treatment as RTA temperature increased because of the low dislocation pinning effect produced by the reduction in residual oxygen while precipitated oxygen concentration increased. Incident angle deviation in the rocking curve indicated a high degree of plastic deformation caused by high RTA temperature after performing a realistic device fabrication process. We propose that a combination of RTA and a pre-annealing process can improve the internal gettering efficiency during high temperature processing, which controls BMDs. This would balance the residual oxygen and preventing plastic deformation. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
9. Expression and regulation of the BKRF2, BKRF3 and BKRF4 genes of Epstein-Barr virus.
- Author
-
Chen, Lee-Wen, Hung, Chien-Hui, Wang, Shie-Shan, Yen, Ju-Bei, Liu, Ann-Chi, Hung, Ya-Hui, and Chang, Pey-Jium
- Subjects
- *
EPSTEIN-Barr virus genetics , *GENETIC transcription regulation , *VIRAL genomes , *METHYLATION , *GLYCOPROTEINS - Abstract
Highlights • Both BKRF3 and BKRF4 genes are new synergistic targets of Rta and Zta. • Synergistic activation of the BKRF3 promoter requires only ZREs but not RRE. • Activation of the BKRF4 promoter by Zta depends on the promoter methylation. • SB can activate the BKRF4 promoter through an Rta/Zta-independent pathway. • The SB-responsive element in the BKRF4 promoter is mapped to an Sp1-binding site. Abstract The BKRF2 , BKRF3 and BKRF4 genes of Epstein-Barr virus (EBV) are located close together in the viral genome, which encode glycoprotein L, uracil-DNA glycosylase and a tegument protein, respectively. Here, we demonstrate that the BKRF2 gene behaves as a true-late lytic gene, whereas the BKRF3 and BKRF4 genes belong to the early lytic gene family. Our results further reveal that both BKRF3 and BKRF4 promoters are new synergistic targets of Zta and Rta, two EBV latent-to-lytic switch transactivators. Multiple Rta- and Zta-responsive elements within the BKRF3 and BKRF4 promoters were identified and characterized experimentally. Importantly, we show that DNA methylation is absolutely required for activation of the BKRF4 promoter by Zta alone or in combination with Rta. Moreover, we find that sodium butyrate, an inducing agent of EBV reactivation, is capable of activating the BKRF4 promoter through a mechanism independent of Zta and Rta. Overall, our studies highlight the complexity of transcriptional regulation of lytic genes within the BKRF2-BKRF3-BKRF4 gene locus. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
10. Effects of polycrystalline AlN film on the dynamic performance of AlGaN/GaN high electron mobility transistors.
- Author
-
Zhang, Dongliang, Cheng, Xinhong, Zheng, Li, Shen, Lingyan, Wang, Qian, Gu, Ziyue, Qian, Ru, Wu, Dengpeng, Zhou, Wen, Yu, Yuehui, and Cao, Duo
- Subjects
- *
POLYCRYSTALLINE semiconductors , *TRANSPARENT ceramics , *AMORPHOUS semiconductors , *POLARIZATION (Economics) , *DYNAMIC meteorology - Abstract
During the fabrication process of AlGaN/GaN HEMTs, SiN x films grown by plasma enhanced chemical vapor deposition (PECVD) are usually utilized to passivate AlGaN/GaN surface. However, PECVD with high energy of plasma would induce the surface damage. Plasma in plasma enhanced atom layer deposition (PEALD) process is gentle and remote. Consequently, AlN films grown by PEALD are explored as passivation layer on AlGaN/GaN surface. In comparison with PECVD SiN x , AlN film grown by PEALD, accompanied with 850 °C rapid temperature annealing (RTA), can improve 2DEG mobility 16.4%, the peak transconductance 38.6%, saturation drain current 26.3%, reduce static on-resistance 19.2%, and dynamic on-resistance only increased 14% after 50 V off-state stress and 200 μs recovering time. The annealing process at 850 °C changed AlN film polycrystalline structure and generated positive polarization charges of 3.6 × 10 12 cm −2 at AlN/GaN heterojunction, which compensated the deep-level trap charging effect, and suppressed current collapse and increase of dynamic on-resistance. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
11. Comparison of ZnO and Ti-doped ZnO sensing membrane applied in electrolyte-insulator-semiconductor structure.
- Author
-
Lee, Ming Ling, Wang, Jer Chyi, Kao, Chyuan Haur, Chen, Hsiang, Lin, Chan Yu, Chang, Che Wei, Mahanty, Rama Krushna, Lin, Chun Fu, and Chang, Kow Ming
- Subjects
- *
ELECTROLYTES , *SEMICONDUCTOR devices , *RADIOFREQUENCY spectroscopy - Abstract
In this paper, we demonstrate electrolyte-insulator-semiconductor devices for biochemical sensing applications prepared from ZnO and Ti-doped ZnO sensing membranes deposited on Si substrates by radio frequency sputtering. The structural, morphological, and compositional features of these deposited films with multitemperature annealing were studied using X-ray diffraction, atomic force microscopy, and X-ray photoelectron spectroscopy, respectively. Sensitivity, linearity, hysteresis, and drift rate were measured to determine the sensing and reliability performance of all fabricated devices. Compared to the ZnO electrolyte-insulator-semiconductor (EIS), the Ti-doped ZnO EIS sensor annealed at 700 °C exhibits a higher sensitivity of 57.56 mV/pH, lower hysteresis of 2.79 mV, and lower drift rate of 0.29 mV/h. For Ti-doped ZnO, sensitivities of 3.62 mV/mM and 6.42 mV/mM were obtained for urea and glucose sensing, respectively. The improvements are owing to Ti-doping, which produces a rougher sensing surface, a well-crystallized grain structure, and thinner silicate and SiO 2 at the silicon-oxide interface. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
12. Helicase-independent function of RIG-I against murine gammaherpesvirus 68 via blocking the nuclear translocation of viral proteins.
- Author
-
Xu, Yang, Hou, Guoli, Liu, Qizhi, Zhang, Qiushi, Li, Chun, Hu, Liang, Chen, Xiaoying, Chen, Rui, Ding, Chengming, Li, Deliang, and Li, Junhua
- Subjects
- *
VIRAL proteins , *DNA helicases , *INTERFERON receptors , *PATTERN perception receptors , *TYPE I interferons , *RNA polymerase II , *HERPESVIRUS diseases - Abstract
Innate immunity is the first line of defense against viral pathogens. Retinoic Acid-Inducible Gene 1 (RIG-I) is a pattern recognition receptor that recognizes virus-associated double-stranded RNA and initiates the interferon responses. Besides signal transduction, RIG-I exerts direct antiviral functions to displace viral proteins on dsRNA via its Helicase activity. Nevertheless, this effector-like activity of RIG-I against herpesviruses remains largely unexplored. It has been previously reported that herpesviruses deamidate RIG-I, resulting in the abolishment of its Helicase activity and signal transduction. In this study, we discovered that RIG-I possessed signaling-independent antiviral activities against murine gamma herpesviruses 68 (γHV68, murid herpesvirus 4). Importantly, a Helicase-dead mutant of RIG-I (K270A) demonstrated comparable inhibition on herpesviruses lytic replication, indicating that this antiviral activity is Helicase-independent. Mechanistically, RIG-I bound the Replication and Transcription Activator (RTA) and diminished its nuclear localization to repress viral transcription. We further demonstrated that RIG-I blocked the nuclear translocation of ORF21 (Thymidine Kinase), ORF75c (vGAT), both of which form a nuclear complex with RTA and RNA polymerase II (Pol II) to facilitate viral transcription. Moreover, RIG-I retained ORF59 (DNA processivity factor) in the cytoplasm to repress viral DNA replication. Altogether, we illuminated a previously unidentified, Helicase-independent effector-like function of RIG-I against γHV68, representing an exquisite host strategy to counteract viral manipulations on innate immune signaling. Retinoic acid-inducible gene I (RIG-I), a member of DExD/H box RNA helicase family, functions as a key pattern recognition receptor (PRR) responsible for the detection of intracellular double-stranded RNA (dsRNA) from virus-infected cells and induction of type I interferon (IFN) responses. Nevertheless, our understanding of the helicase-independent effector-like activity of RIG-I against virus infection, especially herpesvirus infection, remains largely unknown. Herein, by deploying murine gamma herpesviruses 68 (γHV68) as a model system, we demonstrated that RIG-I possessed an interferon and helicase-independent antiviral activity against γHV68 via blocking the nuclear trafficking of viral proteins, which concomitantly repressed the viral early transcription and genome replication thereof. Our work illuminates a previously unidentified antiviral strategy of RIG-I against herpesvirus infection. [Display omitted] [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
13. Cu2ZnSnS4 films properties deposited by spray pyrolysis, subjected to a combined novel thermal treatment: CSS sulfurization and RTA post-treatment.
- Author
-
Moreno-Regino, V.D., Castanedo-Pérez, R., Márquez-Marín, J., and Torres-Delgado, G.
- Subjects
- *
COPPER-zinc alloys , *RAPID thermal processing , *SOLAR cells , *PYROLYSIS , *ELECTRICAL resistivity - Abstract
CZTS films were obtained by spray pyrolysis, a low-cost technique implemented on an industrial scale. Sulfurization processes generally enhance CZTS properties for its use in solar cells; however, these require high temperatures and long times. In this work, CZTS films properties were studied after being subjected to a combined novel thermal treatment which involved two stages. Sulfur incorporation using close space sublimation technique (CSS) at a temperature (T CSS) in the 350–500 °C range for 60 s, and after a rapid thermal annealing post-treatment (RTA) at T RTA = 500 °C for 10 s, with a heating ramp of 5 °C/s under argon atmosphere. Morphological, structural, chemical composition, optical and electrical properties were studied for CZTS films subjected to CSS as CSS+RTA treatments for all T CSS values. From the results, efficient sulfur incorporation by CSS was obtained without substantial sulfur concentration loss after RTA treatment, associated with the short treatment time. In addition, significant enhancement of crystalline quality and electrical resistivity diminished of the films is obtained after the CSS+RTA process for all T CSS. CZTS films with the best properties are those obtained at T CSS of 400 °C, having Cu/(Zn+Sn) = 0.79 and Zn/Sn = 1.3 ratios, a direct bandgap value of 1.5 eV, and resistivity of 4 Ω-cm. These films can be candidates for their characteristics as an absorbent layer in solar cells. [Display omitted] • Efficient sulfur incorporation in CZTS films by CSS using short times. • RTA post-treatment in previous sulfurized CZTS films without significant sulfur loss. • Combined CSS+RTA treatment stimulates the kesterite phase in CZTS films. • Combined CSS+RTA treatment enhance CZTS films properties for their use in solar cells. • CZTS by spray pyrolisis, treated short time lead 1.5 ≤E g ≤ 1.6 eV, 10−1 ≤ ρ ≤ 101 Ω-cm. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
14. Stacked SiGe/Si I/O FinFET device preparation in a vertically stacked gate-all-around technology.
- Author
-
Zhao, Fei, Li, Yan, Luo, Huaizhi, Jia, Xiaofeng, Zhang, Jiayi, Mao, Xiaotong, and Li, Yongliang
- Subjects
- *
RAPID thermal processing , *ATOMIC layer deposition , *ELECTRIC capacity , *FINS (Engineering) , *DIELECTRICS , *OPTICAL disks - Abstract
In this work, a stacked SiGe/Si input-output (I/O) FinFET device preparation with an optimized thermal budget and atomic layer deposition (ALD) SiO 2 gate dielectric is investigated. First, a vertical and smooth profile of the stacked SiGe/Si fin without obvious Ge diffusion and SiGe oxidation is maintained by developing an optimized STI densification process using rapid thermal annealing (RTA) of 850 °C for 30s. Then, a high-quality ALD SiO 2 with thickness of 3 nm suitable for the gate dielectric layer of I/O device is verified based on MOS capacitance (CAP) structure. Finally, a stacked SiGe/Si I/O FinFET targeting 1.8V operating voltage (V DD) is successfully prepared with good physical structure and electrical characteristics. Meanwhile, its maximum operating voltage (V max) for a 10 years lifetime at a failure rate of 0.01% can reach to 2.03V. Therefore, these newly developed processes are practical for the stacked SiGe/Si I/O FinFET device in a vertically stacked GAA technology. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
15. Ultrathin GaAsN matrix-induced reduced full width at half maximum of GaAsN/InAs/GaAsN dot-in-a-well heterostructures with extended emission wavelength.
- Author
-
Biswas, Mahitosh, Balgarkashi, Akshay, Makkar, Roshan Lal, Bhatnagar, Anuj, and Chakrabarti, Subhananda
- Subjects
- *
GALLIUM arsenide , *INDIUM arsenide , *HETEROSTRUCTURES , *EMISSION spectroscopy , *POINT defects - Abstract
We grew InAs quantum dots (QDs) embedded in a GaAs 0982 N 0.018 quantum well—a dot-in-a-well (DWELL) structure—that exhibits emission at wavelengths of up to 1.31 µm at 19 K and the full width at half maximum (FWHM) of 59 meV which are lower those of the InAs/GaAs QDs (1.09 µm and 82 meV, respectively), the reference sample. Our results can be explained as follows: modified strain field at the GaAsN matrix/InAs QDs due to insertion of ultrathin GaAsN matrix, or nitrogen-induced point defects formed in the ultrathin GaAsN matrix during growth, could promote the homogeneous distribution of InAs QDs on the surface. The GaAsN capping layer evidently enhances the emission wavelength by reducing the overall compressive strain within the QDs. DWELL with ultrathin matrix layer annealed at 800 °C exhibited 130-fold improvement in PL intensity, as compared to the as-grown sample, attributed to annihilation of N-related point defects. The results of this study are expected to be useful in fabricating DWELL-based infrared photodetectors with narrow spectral linewidth. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
16. Annihilation of arsenic-nitrogen bonding defects in annealed InAs1−xNx quantum dots grown through nitrogen background pressure–controlled SS-MBE.
- Author
-
Biswas, Mahitosh, Makkar, Roshan Lal, Bhatnagar, Anuj, and Chakrabarti, Subhananda
- Subjects
- *
ANNIHILATION reactions , *ARSENIC , *NITROGEN , *CHEMICAL bonds , *QUANTUM dots - Abstract
We report the self-assembled growth of InAs 1−x N x /GaAs quantum dots (QDs) through solid-source molecular beam epitaxy on GaAs (001) substrates. High-resolution X-ray diffraction confirmed that a low nitrogen background pressure of 4 × 10 −6 Torr facilitates 2.2% incorporation of nitrogen into the InAs 1−x N x QDs, whereas a relatively high pressure of 5 × 10 −6 Torr facilitates a low nitrogen incorporation of 0.6%. 19 K photoluminescence (PL) measurements exhibited wide and multi-peaked spreading in nitride QDs, which can be conflicted with nucleation at dislocations caused by nitrogen. As-grown InAs 0.978 N 0.022 QDs exhibited maximum red-shift upto 1358 nm but with a considerably reduced PL intensity, attributed to nitrogen incorporation and As–N defects generated in nitride QD, respectively. The Raman spectra of the InAs 0.978 N 0.022 QDs exhibited a high intensity and evidently reduced full width at half maximum of the In-N like local vibrational mode as compared to the InAs 0.994 N 0.006 QDs, attributed to less number of defects formed during growth. Rapid thermal annealing was conducted in nitrogen atmosphere for 30 s, and a continuous blue-shift was observed upto 800 °C because of the In/Ga interchange and As/N diffusion. For InAs 0.978 N 0.022 QDs annealed at 750 °C, 440-fold higher improvement in PL intensity was realized because of the annihilation of the arsenic-nitrogen (As–N) bonding defects, whereas the InAs/GaAs QDs used as the reference sample exhibited negligible enhancement. The proposed method eases high nitrogen incorporation into InAs QDs, and the annealed nitride QDs have highly favorable optical properties. These experimental results offer insights into the performance of InAs 1−x N x -based lasers. [ABSTRACT FROM AUTHOR]
- Published
- 2017
- Full Text
- View/download PDF
17. Cuprous oxide thin films obtained by dip-coating method using rapid thermal annealing treatments.
- Author
-
Martínez-Saucedo, G., Castanedo-Pérez, R., Torres-Delgado, G., Mendoza-Galván, A., and Ángel, O. Zelaya
- Subjects
- *
CUPROUS oxide , *THIN films analysis , *RAPID thermal processing , *TEMPERATURE measurements , *ELECTRON probe microanalysis - Abstract
The transformation of cupric oxide (CuO) into cuprous oxide (Cu 2 O) thin films by rapid thermal annealing (RTA) treatments is studied. The CuO films were obtained by dip-coating from a precursor solution containing copper (II) acetate. The films are formed of ten coats, where each one was deposited with a withdrawal speed of 8 cm/min and dried in air at 250 °C for 5 min; after that, the films were sintered in air at 250 °C for 1 h. Under these conditions an average thickness of 240 nm is obtained. The RTA treatments on the CuO films were performed for 10 s with a heating ramp of 5 °C/s in vacuum at temperatures (T A ) in the 325–450 °C range with steps of 25 °C. As revealed by X-ray diffraction data, the composition of the polycrystalline films depends upon the applied T A : i) CuO+Cu 2 O for T A < 375 °C, ii) only Cu 2 O for T A = 375 and 400 °C, and iii) Cu 2 O+Cu for T A ≥ 425 °C. Some physical properties of Cu 2 O films are: crystallite size ranging between 6.5 and 8.5 nm, direct forbidden band gap energy of 2.36 eV, and optical transmission around 75% for wavelengths larger than 520 nm. Cu 2 O films obtained at T A = 400 °C are p-type with resistivity of 9.9 × 10 1 Ω-cm, mobility of 0.52 cm 2 V −1 s −1 , and carrier concentration of 1.2 × 10 17 cm −3 . These properties of the RTA obtained Cu 2 O films make them a good candidate for application in solar cells of transparent conductive oxide (n-type)/Cu 2 O heterostructure type. [ABSTRACT FROM AUTHOR]
- Published
- 2017
- Full Text
- View/download PDF
18. Physical and electrical properties of flash memory devices with nickel oxide(NiO2) charge trapping layer.
- Author
-
Lee, Ming Ling, Chen, Hsiang, Kao, Chyuan Haur, Mahanty, Rama Krushna, Sung, Wei Kung, Lin, Chun Fu, Lin, Chan Yu, and Chang, Kow Ming
- Subjects
- *
NICKEL oxides , *ATOM trapping , *RAPID thermal processing , *X-ray diffraction , *RECORDS management - Abstract
In this study, we proposed a metal-oxide high-k-oxide-silicon (MOHOS) memory device using a nickel oxide film as the charge trapping layer, and studied the effect of post-deposition rapid thermal annealing (RTA) on the physical and electrical properties. The physical properties were investigated via multiple material analysis techniques such as X-ray diffraction and atomic force microscopy. The optimal annealing temperature for depositing the charge trapping layer was determined through a thorough investigation of the memory window, program/erase (P/E) cycle, crystalline structure, and material composition. Compared to the as-deposited NiO 2 film, a MOHOS-type memory device annealed at 900 °C in a nitrogen atmosphere exhibited improved memory characteristics, in terms of a larger window in the capacitance-voltage hysteresis, better data retention (lower charge loss of 11%), faster program and erase cycles, and endurance characteristics (10 4 P/E cycles) without any significant drift in the flat band voltage. Therefore, the MOHOS memory device with a NiO 2 trapping layer is a very promising candidate for future memory device applications. [ABSTRACT FROM AUTHOR]
- Published
- 2017
- Full Text
- View/download PDF
19. Impacts of regional transport on black carbon in Huairou, Beijing, China.
- Author
-
Wang, Yuqin, de Foy, Benjamin, Schauer, James J., Olson, Michael R., Zhang, Yang, Li, Zhengqiang, and Zhang, Yuanxun
- Subjects
SOOT ,ASIA-Pacific Economic Cooperation Forum ,METEOROLOGY ,REMOTE sensing - Abstract
The 22 nd Asia-Pacific Economic Cooperation (APEC) Conference was held near Yanqi Lake, Huairou, in Beijing, China during November 10-11, 2014. To guarantee haze-free days during the APEC Conference, the Beijing government and the governments of the surrounding provinces implemented a series of controls. Three months of Aethalometer 880 nm black carbon (BC) measurements were examined to understand the hourly fluctuations in BC concentrations that resulted from emission controls and meteorology changes. Measurements were collected at the University of Chinese Academy of Sciences near the APEC Conference site and in Central Beijing at the Institute of Remote Sensing and Digital Earth of the Chinese Academy of Sciences. Synoptic conditions are successfully represented through analysis of backward trajectories in six cluster groups. The clusters are identified based on air mass transport from various areas such as Inner Mongolia, Russia, three northeastern provinces, and Hebei industrial areas, to the measurement sites. Air pollution control measures during the APEC Conference significantly reduced BC at the conference site (Huairou) and in Central Beijing, with greater reductions in BC concentrations at the conference site than in Central Beijing. The highest BC concentrations in Huairou were associated with air masses originating from Central Beijing rather than from the Hebei industrial region. The success of the control measures implemented in Beijing and the surrounding regions demonstrates that BC concentrations can be effectively reduced to protect human health and mitigate regional climate forcing. This study also demonstrates the need for regional strategies to reduce BC concentrations, since urban areas like Beijing are sources as well as downwind receptors of emissions. [ABSTRACT FROM AUTHOR]
- Published
- 2017
- Full Text
- View/download PDF
20. Annealing behavior of ferroelectric Si-doped HfO2 thin films.
- Author
-
Lomenzo, Patrick D., Takmeel, Qanit, Moghaddam, Saeed, and Nishida, Toshikazu
- Subjects
- *
HAFNIUM oxide films , *FERROELECTRIC crystals , *ANNEALING of metals , *SILICON , *CAPACITORS - Abstract
Rapid thermal annealing of 10 nm thick Si-doped HfO 2 thin films was performed to study the influence of isochronal and isothermal anneals on the ferroelectric and electrical properties of thin film metal-ferroelectric-metal capacitors. The anneal temperature and time dependence of the ferroelectric Si-doped HfO 2 thin films was investigated by applying 700 °C–900 °C rapid thermal anneals for 5 s–60 s durations. An antiferroelectric-like to ferroelectric transition with increasing anneal temperature is observed in the Si-doped HfO 2 thin films. The electrical properties of Si-doped HfO 2 thin films exhibit a strong temperature dependence and a significant time dependence for the temporal range studied in this work. The breakdown field in the ferroelectric HfO 2 thin films ranges from 3.8–5.3 MV/cm depending on the anneal temperature and atomic layer deposition cycle ratio. The remanent polarization, breakdown field, and leakage current is discussed in the context of the Si-doping, anneal temperature, and anneal time. Dynamic hysteresis currents are used to illustrate specific cases of the cycling behavior for the Si-doped HfO 2 thin film capacitors. [ABSTRACT FROM AUTHOR]
- Published
- 2016
- Full Text
- View/download PDF
21. The prevalence of alcohol and psychotropic drugs in fatalities of road-traffic accidents in Jordan during 2008-2014.
- Author
-
AL-Abdallat, Imad M., Al Ali, Rayyan, Hudaib, Arwa A., Salameh, Ghada A.M., Salameh, Rakiz J.M., and Idhair, Ahmed K.F.
- Abstract
Objective: Several studies confirmed alcohol and psychotropic drug consumption as important risk factors underlying fatal accidents. This paper presents updated toxicological findings in the fatalities of road traffic accidents of Amman district, in order to have an overall picture of the occurrence of these substances in these victims in Jordan.Method: Over a seven-year period (2008-2014), 2743, autopsies were conducted at Jordan University Hospital in which the sum of n = 311 (11.38%) were victims of road traffic accidents. Blood samples from these victims were collected. Toxicology screening for psychotropic drugs and alcohol was conducted on these samples, and the results were analyzed according to age, sex and victim's status.Results: This study revealed that Alcohol and psychotropic drugs were positive in 36.5%, (n = 58) of the cases, and for alcohol alone (n = 13, 37.1%). The majority of the victims were pedestrians (n = 155, 49.8%). Additionally, 29.6% (n = 92) of the cases were of ages 19-29. Detected psychotropic drugs were benzodiazepines, barbiturates. None of the collected specimens were positive for illicit cocaine, amphetamines or cannabis.Conclusions: The results from this study proved the existence of alcohol and psychotropic drugs in the victims of road traffic accidents; Indicating an association between the uses of these substances in accident involvement. Though having some limitations, other conclusions require further data collection, cooperation with related parties in Jordan, and utilizing simple extended toxicological screens. [ABSTRACT FROM AUTHOR]- Published
- 2016
- Full Text
- View/download PDF
22. Microstructural and electrical properties of Cu-germanide formed on p-type Ge wafer.
- Author
-
Jyothi, I., Janardhanam, V., Hwang, Jun Yeon, Lee, Won-Ki, Park, Yun Chang, Kang, Hyon Chol, Lee, Sung-Nam, and Choi, Chel-Jong
- Subjects
- *
MICROSTRUCTURE , *ELECTRIC properties of metals , *COPPER compounds , *GERMANIDES , *GERMANIUM , *SEMICONDUCTOR wafers , *RAPID thermal processing - Abstract
We have investigated the microstructural and electrical properties of Cu-germanides formed by the deposition of Cu on Ge wafer, followed by rapid thermal annealing (RTA) process at the temperatures in the range of 300–700 °C. Regardless of RTA temperature, the Cu 3 Ge was the only phase formed as a result of solid-state reaction between Cu and Ge driven by RTA process. The RTA temperature dependency of specific contact resistivity of Cu 3 Ge was explained in terms of its structural evolution caused by RTA process. The RTA process at 400 °C led to the formation of Cu 3 Ge film having highly uniform surface and interface morphologies, allowing the minimum value of the specific contact resistivity. The samples annealed above 500 °C underwent the severe structural degradation of Cu 3 Ge, resulting in a rapid increase in the specific contact resistivity. After RTA at 700 °C, pyramidal Cu 3 Ge islands standing on a corner, distributed along Ge <110> direction were formed with epitaxial relationship on underlying Ge. [ABSTRACT FROM AUTHOR]
- Published
- 2016
- Full Text
- View/download PDF
23. Nitrogen ratio and RTA optimization on sputtered TiN/SiO2/Si electrolyte-insulator–semiconductor structure for pH sensing characteristics.
- Author
-
Ren, Chang, Yang, Chia-Ming, Lyu, Chengang, Hsu, Chin-Yuan, Chen, Tsung-Cheng, Wang, Hau-Cheng, Yang, Hao, Lin, Wei-Tse, Juan, Pi-Chun, Huang, Chi-Hsien, Pijanowska, Dorota G., Wang, Jer-Chyi, and Tsai, Jung-Ruey
- Subjects
- *
PHOTOELECTRON spectroscopy , *SPUTTERING (Physics) , *RAPID thermal processing , *TITANIUM nitride , *SEMICONDUCTORS , *SILICA , *ATOMIC force microscopy , *BIOSENSORS - Abstract
Sputtered titanium nitride (TiN) with a novel N 2 ratio adjustment and rapid thermal annealing (RTA) treatment are proposed in order to optimize pH sensing performance of electrolyte-insulator-semiconductor (EIS) structure. Selection of this methodology, which can be easily applied into standard CMOS and DRAM technology, results from the fact that TiN is a well-verified material as a buffer or a barrier layer. It was concluded that pH sensitivity of the order of 60.5 mV/pH and linearity of 99.9% could be obtained for a TiN/SiO 2 EIS structure treated with N 2 ratio of 20% and RTA at 800 °C, which could be a good candidate in sensor applications. This performance is stable for more than two months. Higher surface roughness shown in atomic force microscope (AFM) analysis and high oxygen level in sputtering process shown in x-ray photo photoelectron spectroscopy (XPS) could be the reasons for the high sensitivity of the fabricated TiN sensing layer. [ABSTRACT FROM AUTHOR]
- Published
- 2015
- Full Text
- View/download PDF
24. Novel chemical process for preparing h-BN solid lubricant coatings on titanium-based substrates for high temperature tribological applications.
- Author
-
Yuan, S., Toury, B., and Benayoun, S.
- Subjects
- *
BORON nitride , *CHEMICAL processes , *CHEMICAL sample preparation , *SOLID lubricants , *METAL coating , *TITANIUM compounds , *TRIBOLOGY , *EFFECT of temperature on metals - Abstract
Hexagonal boron nitride (h-BN) coatings prepared from a polyborazylene (PBN) polymeric precursor were deposited on titanium-based substrates and annealed via infra-red irradiation in a rapid thermal annealing (RTA) furnace. Crystallized h-BN coatings were obtained by adding Li 3 N as a catalyst at a relatively low annealing synthesized temperature (~ 1200 °C). The resulting coatings had a thickness of 15 μm and were evenly coated and homogenous. The coating/substrate adhesion was evaluated by the micro-scratch test, with the value of best critical load occurring at approximately 12 N against a Rockwell C diamond point. This adhesion increased with the growth of the additive ratio of Li 3 N. The friction coefficient measurements were carried-out by tribological testing at 360 °C using a cylinder/disk configuration. Stainless steel 15-5PH cylinders were used as counter bodies to the titanium disk. The friction coefficient was reduced from 0.72 for the Ti/stainless tribosystem to 0.35 for the Ti/h-BN/stainless tribosystem. [ABSTRACT FROM AUTHOR]
- Published
- 2015
- Full Text
- View/download PDF
25. The optimization and role of Ti surface doping in thermochromic VO2 film.
- Author
-
Zhao, Jinshi, Chen, Danke, Hao, Chenyang, Mi, Wei, and Zhou, Liwei
- Subjects
- *
RAPID thermal processing , *ELECTROCHROMIC windows , *ANNEALING of metals , *OXYGEN consumption , *BAND gaps , *METALLIC films - Abstract
In the present task, Ti surface-doped VO 2 films have been prepared by rapid thermal annealing of (ultra-thin)Ti/V metal films on plain glass in order to improve the unbalanced thermochromic properties of VO 2 films. The samples were analyzed for composition, crystallinity, surface topography, and optical properties. As the Ti content increases, the surface particles become smaller and more uniform, resulting in a lower transition temperature of 44.9 °C and an extremely narrow hysteresis width of 2.36 °C. Meanwhile, a significant increase in luminous transmittance (from 28.4% to 39.6%) accompanies the optical band gap widening. The convenient method of surface engineering provides a new strategy for the performance optimization of smart windows. [Display omitted] • A novel structure of Ti surface-doped VO 2 film is proposed. • Ti surface dopant influences the crystallization process of VO 2. • Ti surface doping can be used to modify the optical band gap and enhance luminous transmittance. • The surface particles become smaller and more uniform, resulting in a suitable T c and a satisfactory ΔT c. • Surface engineering provides a new strategy for the performance optimization of smart windows. [ABSTRACT FROM AUTHOR]
- Published
- 2022
- Full Text
- View/download PDF
26. In-situ and ex-situ face-to-face annealing of epitaxial AlN.
- Author
-
Koçak, Merve Nur, Pürlü, Kağan Murat, Perkitel, İzel, Altuntaş, İsmail, and Demir, İlkay
- Subjects
- *
RAPID thermal processing , *SAPPHIRES , *ULTRAVIOLET spectrometry , *ATOMIC force microscopy , *THIN films , *RAMAN scattering , *DISLOCATION density - Abstract
AlN films have been deposited on c-plane sapphire substrates by metalorganic-vapor-phase-epitaxy (MOVPE). The changes in the film structure have been investigated by applying different annealing processes which are ex-situ rapid thermal annealing (RTA) and in-situ process after the nucleation-layer (NL). The AlN nucleation-layer grown on sapphire has been annealed face-to-face with ex-situ (RTA) process for 3 min and with in-situ process for 3 h, then pulsed-atomic-layer-epitaxy AlN film has been grown at a high temperature. The samples have been characterized by high-resolution X-ray diffraction, atomic force microscopy, Ultraviolet–visible spectrometry, and Raman scattering to examine the structural properties, surface morphology, and optical properties. The sample annealed with the ex-situ (RTA) process, where rapid diffusion took place, has reached larger grain sizes and the dislocation density has decreased as the grain boundary decreased. Although better crystal quality has been obtained with the ex-situ (RTA) process, it has been observed that the surface roughness of the sample annealed with the ex-situ (RTA) process is higher than that of the sample annealed with the in-situ process. Considering the results, a schematic prediction of the growth process after face-to-face annealing has been proposed. Experimental findings have shown that different annealing processes after growing the AlN-NL have a great effect on the properties of the AlN. • The effect of NL annealing with RTA and MOVPE on properties of AlN thin film has been investigated. • In this study, we have been investigated in detail crystal quality, surface quality and optical quality. • It has been reported in detail that the crystal quality of the sample annealed nucleation layer with RTA is better, and the optical quality and surface quality of the sample annealed with MOCVD is relatively better. it. [ABSTRACT FROM AUTHOR]
- Published
- 2022
- Full Text
- View/download PDF
27. The effect of ITO/Mo/MoO3 anode multilayer film on efficient hole extraction in MEH–PPV/ZnO NP hybrid solar cells.
- Author
-
Park, Jaehyoung, Park, Gwanghoon, Ko, Hang-Ju, and Ha, Jun-Seok
- Subjects
- *
INDIUM tin oxide , *ANODES , *INTERFACES (Physical sciences) , *EXTRACTION (Chemistry) , *ZINC oxide , *SOLAR cells - Abstract
Acidic PEDOT:PSS anode buffer layers (ABLs) are widely used for improving efficiency in organic-based solar cells. Recently stable P-type metal oxides, such as NiO, MoO 3 , and V 2 O 5 , have been applied for replacing the commonly used acidic PEDOT:PSS ABL because of the difficulty in coating PEDOT:PSS solutions onto electrodes or polymer active layers. This highlights the importance of the fabrication and characterization of various ABLs that affect the efficiency of hole extraction and the blocking of electron backflows. We studied MoO 3 ABLs formed by the rapid thermal annealing (RTA) of molybdenum (Mo) in oxygen atmosphere. In particular, we propose the use of an indium tin oxide (ITO)/Mo/MoO 3 anode multilayer for efficient hole extraction in bulk heterojunction (BHJ)-structure organic-based solar cells. The formation of Mo and MoO 3 thin films was controlled by the RTA time with a fixed annealing temperature of 350 °C. We obtained a two-fold improvement in the short circuit current ( J sc ) of the device with the ITO/Mo/MoO 3 anode multilayer film (AMF), compared to a device with a PEDOT:PSS ABL. [ABSTRACT FROM AUTHOR]
- Published
- 2014
- Full Text
- View/download PDF
28. Effect of rapid thermal annealing on the characteristics of amorphous carbon/n-type crystalline silicon heterojunction solar cells.
- Author
-
Ismail, Raid A., Hamoudi, Walid K., and Saleh, Kifah K.
- Subjects
- *
SILICON solar cells , *RAPID thermal processing , *HETEROJUNCTIONS , *AMORPHOUS carbon , *DOPED semiconductors , *SILICON wafers - Abstract
Abstract: Heterojunction solar cells were fabricated by the deposition of un-doped amorphous carbon on mono-crystalline n-type silicon wafer (a-C/Si) using a frequency doubled pulsed Nd:YAG laser under vacuum followed by rapid thermal annealing (RTA). Structural and optical properties were characterized by x-ray diffraction (XRD), scanning electron microscopy (SEM), energy dispersive x-ray (EDX), atomic force microscopy (AFM), Fourier transformation infrared spectroscopy (FTIR), and UV–vis transmittance. Optical properties investigation showed a 2.2eV optical band gap of the amorphous carbon. I–V characteristics indicated a good rectification of the a-C/Si heterojunction with an ideality factor of 3. The 30s annealed a-C/Si solar cell at 600°C showed the highest conversion efficiency (η=1.1%). The maximum open circuit voltage (V oc) and short circuit current density (J sc) of the cells were 250mV and 33.3mA/cm2 respectively. The photo-response of the cells was significantly improved after RTA. [Copyright &y& Elsevier]
- Published
- 2014
- Full Text
- View/download PDF
29. Impact of rapid thermal annealing on structural and electrical properties of ZnO thin films grown atomic layer deposition on GaAs substrates.
- Author
-
Zhang, Yuan, Lu, Hong-Liang, Geng, Yang, Sun, Qing-Qing, Ding, Shi-Jin, and Zhang, David Wei
- Subjects
- *
ATOMIC layer deposition , *GALLIUM arsenide , *ZINC oxide films , *ELECTRIC properties of metallic films , *SUBSTRATES (Materials science) , *ANNEALING of semiconductors , *HETEROSTRUCTURES , *X-ray diffraction , *THERMAL properties - Abstract
The effect of rapid thermal annealing temperature on the structural and electrical properties thin ZnO films grown on GaAs substrate by atomic layer deposition is thoroughly investigated. X-ray diffraction analysis show that atoms interdiffusion can be observed at the interface of ZnO/GaAs heterostructures after annealing in oxygen ambience at elevated temperatures. Moreover, the conductivity of ZnO film converts from n- to p-type after annealing at 600 °C. A hole concentration as high as 3.4 × 1020 cm−3 is also obtained for the sample annealed at 650 °C. The p-type conductivity of ZnO films is attributed to arsenic atoms diffusion into ZnO films as shallow acceptors. [ABSTRACT FROM AUTHOR]
- Published
- 2014
- Full Text
- View/download PDF
30. Sulfur incorporation generally improves Ricin inhibition in pterin-appended glycine-phenylalanine dipeptide mimics.
- Author
-
Wiget, Paul A., Manzano, Lawrence A., Pruet, Jeff M., Gao, Grace, Saito, Ryota, Monzingo, Arthur F., Jasheway, Karl R., Robertus, Jon D., and Anslyn, Eric V.
- Subjects
- *
SULFUR , *RICIN , *PTERIDINES , *GLYCINE , *PHENYLALANINE , *CHEMICAL synthesis - Abstract
Abstract: Several 7-aminoamido-pterins were synthesized to evaluate the electronic and biochemical subtleties observed in the ‘linker space’ when N-{N-(pterin-7-yl)carbonylglycyl}-l-phenylalanine 1 was bound to the active site of RTA. The gylcine–phenylalanine dipeptide analogs included both amides and thioamides. Decarboxy gly-phe analog 2 showed a 6.4-fold decrease in potency (IC50 =128μM), yet the analogous thioamide 7 recovered the lost activity and performed similarly to the parent inhibitor (IC50 =29μM). Thiourea 12 exhibited an IC50 nearly six times lower than the oxo analog 13. All inhibitors showed the pterin head-group firmly bound in their X-ray structures yet the pendants were not fully resolved suggesting that all pendants are not firmly bound in the RTA linker space. Calculated log P values do not correlate to the increase in bioactivity suggesting other factors dominate. [Copyright &y& Elsevier]
- Published
- 2013
- Full Text
- View/download PDF
31. Preparation of Cu2ZnSnS4 thin films via electrochemical deposition and rapid thermal annealing.
- Author
-
Lee, Kee Doo, Seo, Se-Won, Lee, Doh-Kwon, Kim, Honggon, Jeong, Jeung-hyun, Ko, Min Jae, Kim, BongSoo, Kim, Dong Hwan, and Kim, Jin Young
- Subjects
- *
KESTERITE , *METALLIC thin films , *CHEMICAL preparations industry , *ELECTROCHEMICAL analysis , *RAPID thermal processing , *MICROFABRICATION , *CHEMICAL precursors - Abstract
We fabricated metallic Cu–Zn–Sn (CZT) precursor thin films via electrochemical deposition from aqueous metal salt solution on Mo-coated soda-lime glass substrates, and the influence of the subsequent sulfurization condition on the morphology, composition and structure of the final Cu2ZnSnS4 (CZTS) thin films was investigated. A rapid thermal annealing equipment was used for a systematic control of the sulfurization process parameters. The as-deposited films are composed of binary metallic alloys, which can be converted to the highly crystalline CZTS phase after sulfurization at temperatures above 500°C. The composition of the CZT film barely changes during the sulfurization, and a small amount of CuS-based secondary phases exists even at 550°C. However, a quick post-annealing KCN treatment effectively and selectively removes the secondary phase, evidenced by the Raman spectroscopy and elemental. [ABSTRACT FROM AUTHOR]
- Published
- 2013
- Full Text
- View/download PDF
32. Development of annealing process for solution-derived high performance InGaZnO thin-film transistors.
- Author
-
Kim, Kwan-Soo, Lee, Se-Won, Oh, Se-Man, and Cho, Won-Ju
- Subjects
- *
THIN film transistors , *ANNEALING of metals , *SOLUTION (Chemistry) , *INDIUM compounds , *ZINC oxide , *ELECTRIC properties of metals , *TEMPERATURE effect - Abstract
Highlights: [•] Electrical characteristics of the IGZO TFTs evaluated with annealing method and temperature. [•] The RTA process exhibited high off-current and subthershold slope due to fast annealing process time. [•] The CTA process exhibited low subthreshold slope and low drive current. [•] The post RTA process effectively improves the interface trap state generated by the RTA process. [Copyright &y& Elsevier]
- Published
- 2013
- Full Text
- View/download PDF
33. High responsivity MSM black silicon photodetector.
- Author
-
Su, Yuanjie, Li, Shibin, Wu, Zhiming, Yang, Yajie, Jiang, Yadong, Jiang, Jing, Xiao, Zhanfei, Zhang, Peng, and Zhang, Ting
- Subjects
- *
PHOTODETECTORS , *SILICON , *SEMICONDUCTOR materials , *ANNEALING of metals , *ALKALINE earth metals , *METAL etching - Abstract
Abstract: The effect of annealing temperature on photoelectric properties of metal–semiconductor–metal (MSM) black silicon photodetector has been studied. The black silicon was fabricated by alkaline etching and metal assisted etching. The nanopores and micro-columns formed by the etching process enhance spectral absorptance significantly at wavelength from 250nm to 1100nm. The MSM black silicon photodetectors were annealed at different temperatures in N2 ambient with a rapid thermal annealing (RTA) process. The fast ramp-up and cool-down rate of RTA is a key factor that eliminates the tensile stress and point defects in Si nanoparticle made from metal assisted wet etching, leading to significant increase of mobility, conductivity and carrier concentration. In addition, the photocurrent and spectral responsivities of detectors increase with annealing temperature. At the wavelength of 600nm, the responsivity (76.8A/W) at 673K is almost three orders of magnitude greater than that of the unannealed sample. [Copyright &y& Elsevier]
- Published
- 2013
- Full Text
- View/download PDF
34. Effect of crystal structure on optical properties of sol–gel derived zirconia thin films
- Author
-
Wang, Xiaodong, Wu, Guangming, Zhou, Bin, and Shen, Jun
- Subjects
- *
CRYSTAL structure , *ZIRCONIUM oxide , *THIN films , *OPTICAL properties , *SOL-gel processes , *SILICON wafers , *RAMAN spectroscopy - Abstract
Abstract: The optical properties of sol–gel derived zirconia thin films and their relation to the crystal structure are studied in this paper. ZrO2 films were deposited on quartz glass and silicon wafer substrates by sol–gel method with conventional furnace annealing (CFA) and rapid thermal annealing (RTA). Crystal structures of the films were analyzed by X-ray diffraction (XRD) and Raman spectroscopy, while refractive indices of the films were determined from the reflectance and transmittance spectra. The refractive indices vary with the function of crystal structure and density of the films, which depends on annealing temperature and annealing technique. Lattice-mismatch between monoclinic phase and tetragonal phase was found to reduce the refractive index of ZrO2 films. [Copyright &y& Elsevier]
- Published
- 2013
- Full Text
- View/download PDF
35. Effect of annealing on composition, structure and optical properties of SrHfON thin films
- Author
-
Feng, Li-ping, Wang, Yin-quan, Tian, Hao, and Liu, Zheng-tang
- Subjects
- *
STRONTIUM compounds , *ANNEALING of metals , *OPTICAL properties of metals , *THIN films , *MAGNETRON sputtering , *X-ray photoelectron spectroscopy , *BINDING energy - Abstract
Abstract: SrHfON thin films were deposited on Si substrate by radio frequency (RF) magnetron reactive sputtering. Composition, structure and optical properties of the SrHfON films in relation to rapid thermal annealing (RTA) temperatures were analyzed by X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and spectroscopic ellipsometry (SE). The XPS analysis indicates that the peaks of Sr 3d, Hf 4f, O 1s, and N 1s shift toward higher binding energy after the RTA treatment. The XRD results show that the SrHfON films remain amorphous after RTA treatment at 900°C. Optical constants of the as-deposited and annealed SrHfON films are extracted based on a parameterized Tauc–Lorentz (TL) model. With the increase of annealing temperatures, the refractive index increases while the extinction coefficient decreases. The annealed SrHfON films have higher ɛ 1 and lower ɛ 2 than the as-deposited SrHfON films. Moreover, the extracted indirect bandgap values are 4.98, 5.06, and 5.18¦eV for the as-deposited and annealed SrHfON thin films at 600 and 900°C, respectively. [Copyright &y& Elsevier]
- Published
- 2012
- Full Text
- View/download PDF
36. Formation of Ti2AlN nanolaminate films by multilayer-deposition and subsequent rapid thermal annealing
- Author
-
Grieseler, Rolf, Kups, Thomas, Wilke, Marcus, Hopfeld, Marcus, and Schaaf, Peter
- Subjects
- *
ALUMINUM nitride , *SEMICONDUCTOR films , *TITANIUM compounds , *LAMINATED materials , *NANOSTRUCTURED materials , *MULTILAYERED thin films , *ANNEALING of semiconductors , *THERMAL analysis - Abstract
Abstract: Multilayers consisting of titanium layers with a thickness of 4.5nm and aluminum nitride layers with a thickness of 3nm were deposited onto Si/Si3N4 substrates. Subsequently, the multilayer systems were annealed by a rapid thermal annealing process. This way, thin films of almost pure Mn+1AXn-phase Ti2AlN could be produced, as confirmed by X-ray diffraction, glow discharge optical emission spectroscopy and high-resolution transmission electron microscopy. This procedure has a high potential for the preparation of MAX phase coatings. [Copyright &y& Elsevier]
- Published
- 2012
- Full Text
- View/download PDF
37. Electrical and Reliability Analysis for GDH High-k Films After Rapid Thermal Annealing.
- Author
-
Wang, Xiaona, Zhang, Xingqiang, Xiong, Yuhua, Du, Jun, and Yang, Mengmeng
- Abstract
Abstract: The amorphous HfO2 doped Gd2O3 (GDH) film has been grown on p-type Si (001) substrates by radio frequency co-sputtering, and the thickness of GDH film was 4.4nm. The results of electrical tests showed that the ΔVFB of C-V curves reduced from 170mV to 40mV, dielectric constant increased from 19.6 to 21.3, and relaxation phenomena decreased after RTA. I-V curves showed that the leakage current density of GDH film was reduced from 9.6×10-4 A/cm2 to 1.3×10-5 A/cm2 after Rapid Thermal Annealing (RTA). The reliability studies on GDH gate dielectric show that the Time Zero Dielectric Breakdown (TZDB) was mainly caused by the accumulation of positive charges. [Copyright &y& Elsevier]
- Published
- 2012
- Full Text
- View/download PDF
38. Study of rapid thermal annealing on ultra thin high-k HfO2 films properties for nano scaled MOSFET technology
- Author
-
Singh, Vikram, Sharma, Satinder K., Kumar, Dinesh, and Nahar, R.K.
- Subjects
- *
ANNEALING of metals , *METAL oxide semiconductor field-effect transistors , *THERMAL analysis , *ELECTRIC properties of metals , *TEMPERATURE effect , *FOURIER transform infrared spectroscopy , *CAPACITORS - Abstract
Abstract: The effect of rapid thermal annealing on structural and electrical properties of high-k HfO2 ultra thin films deposited by rf-sputtering system is investigated. The films properties were investigated for optimum rapid thermal annealing temperature in oxygen and nitrogen ambient, respectively to get the best electrical results as a MOS device structure. Detailed studies of temperature induced annealing effects on the HfO2/Si interface are done using Fourier Transform Infrared Spectroscopy (FT-IR). The film thickness, composition and microstructure is studied by Ellipsometry, XRD and AFM, respectively, and the effect of annealing on these parameters is shown. The I–V and C–V characteristics of the annealed dielectric film were investigated employing Si/HfO2/Si MOS capacitor structure. The results showed that the HfO2/Si stack with rapid thermal annealing (RTA) in nitrogen ambient showed improved physical and electrical performance than with in oxygen. It is shown that RTA improves the interface properties of HfO2/Si and the densification of HfO2 ultra thin films. The as deposited films were amorphous and orthorhombic after annealed at 700°C in nitrogen and oxygen, respectively. We found that the nitrogen annealed samples exhibit a reduced equivalent oxide thickness, interfacial density of states, capacitance–voltage hysteresis and leakage current; additionally it also showed negligible charge trapping under positive voltage bias and temperature stress. The results are presented and discussed. [Copyright &y& Elsevier]
- Published
- 2012
- Full Text
- View/download PDF
39. Ti-doped Gd2O3 sensing membrane for electrolyte–insulator–semiconductor pH sensor
- Author
-
Kao, Chyuan Haur, Wang, Jer Chyi, Lai, Chao Sung, Huang, Chuan Yu, Ou, Jiun Cheng, and Wang, Hsin Yuan
- Subjects
- *
METALLIC oxides , *GADOLINIUM compounds , *TITANIUM , *METAL insulator semiconductors , *ARTIFICIAL membranes , *ELECTROLYTES , *HYDROGEN-ion concentration , *CHEMICAL detectors - Abstract
Abstract: The paper reports Ti was added to Gd2O3 as pH sensing membrane on silicon combined with proper rapid thermal annealing for the electrolyte–insulator– semiconductor application. It can be found that the high-k Gd2TiO5 sensing membrane annealed at 800°C could obtain high sensitivity, high linearity, low hysteresis voltage, and low drift rate due to improvements of crystalline structures. The high-k Gd2TiO5 sensing membrane shows great promise for future bio-medical device applications. [Copyright &y& Elsevier]
- Published
- 2012
- Full Text
- View/download PDF
40. L10 FePt thin films with [001] crystalline growth fabricated by SiO2 addition—rapid thermal annealing and dot patterning of the films
- Author
-
Ishio, S., Narisawa, T., Takahashi, S., Kamata, Y., Shibata, S., Hasegawa, T., Yan, Z., Liu, X., Yamane, H., Kondo, Y., and Ariake, J.
- Subjects
- *
IRON compounds , *THIN films , *CRYSTAL growth , *SILICA , *ANNEALING of crystals , *CHEMICAL structure , *ZINC oxide - Abstract
Abstract: FePt films that have a high degree of order S in their L10 structure (S>0.90) and well-defined [001] crystalline growth perpendicular to the film plane were fabricated on thermally oxidized Si substrates by the addition of an oxide and successive rapid thermal annealing (RTA). The mechanism of L10 ordering and [001] crystalline growth perpendicular to the film plane arising through the oxide addition and RTA process is also discussed. The L10 ordering (S>0.90) and the [001] crystalline growth were achieved by (1) lowering the activation energy due to in-plane tensile stress and the initiation of L10 ordering at a low temperature, (2) [001] crystalline growth through in-plane tensile stress, and (3) enhancement of atomic diffusion via the addition of an oxide and the resultant lowering of the ordering temperature. Effect (1) was observed in the case of SiO2 addition, effect (2) was generally observed in the case of oxide addition and the RTA process, and effect (3) was prominent in the case of ZnO addition. With the addition of ZnO, the L10 ordering started at below 400°C and was completed at 500°C. Finally, dot patterns were successfully fabricated down to a diameter of 15nm using electron beam lithography, and the magnetic state of the dot pattern was observed by magnetic force microscopy. [Copyright &y& Elsevier]
- Published
- 2012
- Full Text
- View/download PDF
41. Annealing temperature dependency of the electrical and microstructural properties of Ti and Pt contacts to n-type Ge substrates
- Author
-
Janardhanam, V., Kim, Jin-Sung, Moon, Kyung-Won, Ahn, Kwang-Soon, and Choi, Chel-Jong
- Subjects
- *
SUBSTRATES (Materials science) , *TEMPERATURE , *ELECTRIC properties , *ANNEALING of metals , *TRANSITION metal alloys , *MICROSTRUCTURE - Abstract
Abstract: We have investigated the rapid thermal annealing behavior of electrical and microstructural properties of Ti (30nm) and Pt (30nm) contacts to n-type Ge substrates before and after rapid thermal annealing (RTA) at the temperatures in the range of 500–700°C for 30s under N2 ambient. Despite low work function, Ti contacts exhibited Schottky behavior at low RTA temperatures due to strong Fermi level pinning (FLP) effect. However, the Ti contacts showed Ohmic behavior after annealing at 700°C. Similarly, the Pt contacts formed at low temperatures annealing showed Schottky behavior as usually expected from Schottky–Mott theory. However, the annealing of Pt contacts at higher temperature (700°C) resulted in the Ohmic behavior. For both contacts, the abrupt transition of Schottky to Ohmic behavior could be associated with the significant increase in the leakage current under reverse bias condition caused by structural degradation of Ti- and Pt-germanide films. [Copyright &y& Elsevier]
- Published
- 2012
- Full Text
- View/download PDF
42. Spectral Response of Metal-Semiconductor-Metal Photodetector Based on Black Silicon.
- Author
-
Yuanjie, Su, Yadong, Jiang, Zhiming, Wu, and Guodong, Zhao
- Subjects
SILICON ,SEMICONDUCTORS ,SPECTRAL sensitivity ,OPTOELECTRONIC devices ,TEMPERATURE effect ,RAPID thermal processing ,ELECTRIC potential - Abstract
Abstract: This paper reports the spectral responsivity of black silicon photodetector in a spectral range from 400nm to 700nm. According to the results, the responsivity of the detector annealed at temperature of 673K by Rapid Thermal Annealing (RTA), is 58.8 A/W at 670nm, which is nearly two orders of magnitude greater than the one without annealing treatment. Moreover, bias voltage plays another important role that greatly affects the spectral response of the photodetector. [Copyright &y& Elsevier]
- Published
- 2011
- Full Text
- View/download PDF
43. The ferroelectric and ferromagnetic characterization of CoFe2O4/Pb(Mg1/3Nb2/3)O3–PbTiO3 multilayered thin films
- Author
-
Guo, Hong-li, Liu, Guo, Li, Xue-dong, Li, Hai-min, Zhang, Wan-li, Zhu, Jian-guo, and Xiao, Ding-quan
- Subjects
- *
MULTILAYERED thin films , *MAGNETRON sputtering , *MAGNETIC properties of thin films , *ELECTRIC properties of thin films , *FERROELECTRICITY , *FERROMAGNETISM , *SURFACE analysis - Abstract
Abstract: The multiferroic (PMN-PT/CFO) n (n =1,2) multilayered thin films have been prepared on SiO2/Si(100) substrate with LNO as buffer layer via a rf magnetron sputtering method. The structure and surface morphology of multilayered thin films were determined by X-ray diffraction (XRD) and atom force microscopy (AFM), respectively. The smooth, dense and crack-free surface shows the excellent crystal quality with root-mean-square (RMS) roughness only 2.9nm, and average grain size of CFO thin films on the surface is about 44nm. The influence of the thin films thickness size, periodicity n and crystallite orientation on their properties including ferroelectric, ferromagnetic properties in the (PMN-PT/CFO) n multilayered thin films were investigated. For multilayered thin films with n =1 and n =2, the remanent polarization Pr are 17.9μC/cm2 and 9.9μC/cm2; the coercivity H c are 1044Oe and 660Oe, respectively. In addition, the relative mechanism are also discussed. [Copyright &y& Elsevier]
- Published
- 2011
- Full Text
- View/download PDF
44. PECVD oxide as intermediate film for wafer bonding: Impact of residual stress
- Author
-
Olbrechts, Benoit and Raskin, Jean-Pierre
- Subjects
- *
RESIDUAL stresses , *PLASMA-enhanced chemical vapor deposition , *RAPID thermal processing , *SEALING (Technology) , *SURFACE energy , *INTERMEDIATES (Chemistry) , *THIN films - Abstract
Abstract: The deposition rate, the etch rate in a HF-based solution and the residual internal stress of PECVD oxides are systematically analysed for various deposition conditions and post-anneal treatments. Rapid thermal anneal (RTA) at a temperature over 900°C for 15s is proven to be the most efficient to reduce the residual stress in the film and its etch rate in BHF solution, as well as to enhance its long term stability. The reduction of the internal stress in PECVD oxide is mandatory to minimize the wafer bow which degrades the wafer bonding quality. Bonded samples show that the resulting surface energy tends to vary inversely with the elastic energy stored by the conformation of the wafers during the direct bonding. About 45μm wafer bow (3 inch wafer, 380μm-thick) comes out as an upper bow limit, preventing direct bonding to occur. The use of a RTA step following the PECVD oxide layer deposition is demonstrated to be an efficient technological solution to minimize the wafer bow and thus maximize the bonding surface toughness. The experimental results presented in this paper highlight the importance of monitoring the residual stress in intermediate oxide layers to assure high quality and reliable bonding and thus future three-dimensional integration. [ABSTRACT FROM AUTHOR]
- Published
- 2010
- Full Text
- View/download PDF
45. Influence of UV irradiation and RTA process on optical properties of Si implanted SiO2
- Author
-
Iwayama, T.S., Hama, T., and Hole, D.E.
- Subjects
- *
ULTRAVIOLET radiation , *RAPID thermal processing , *CRYSTAL optics , *INORGANIC synthesis , *SILICA , *HIGH temperatures , *PHOTOLUMINESCENCE , *EXCIMER lasers - Abstract
Abstract: Si ion implantation was widely used to synthesize specimens of SiO2 containing supersaturated Si and subsequent high temperature annealing induces the formation of embedded luminescent Si nanocrystals. In this work, the potentialities of excimer UV-light (172nm, 7.2eV) irradiation and rapid thermal annealing (RTA) to enhance the photoluminescence and to achieve low temperature formation of Si nanocrystals have been investigated. The Si ions were introduced at acceleration energy of 180keV to fluence of 7.5×1016 ions/cm2. The implanted samples were subsequently irradiated with an excimer-UV lamp. After the process, the samples were rapidly thermal annealed before furnace annealing (FA). Photoluminescence spectra were measured at various stages at the process. We found that the luminescence intensity is strongly enhanced with excimer-UV irradiation and RTA. Moreover, effective visible photoluminescence which is not observed with a simple FA treatment, is found to be observed even after FA at 900°C, only for specimens treated with excimer-UV lamp and RTA. Based on our experimental results, we discuss the effects of excimer-UV lamp irradiation and RTA process on Si nanocrystals related photoluminescence. [ABSTRACT FROM AUTHOR]
- Published
- 2010
- Full Text
- View/download PDF
46. Transactivators Zta and Rta of Epstein–Barr virus promote G0/G1 to S transition in Raji cells: A novel relationship between lytic virus and cell cycle
- Author
-
Guo, Qingwei, Qian, Lu, Guo, Liang, Shi, Ming, Chen, Changguo, Lv, Xin, Yu, Ming, Hu, Meiru, Jiang, Guosheng, and Guo, Ning
- Subjects
- *
TREATMENT of Epstein-Barr virus diseases , *CELL cycle regulation , *LYMPHOBLASTOID cell lines , *GENE expression , *CELL populations , *GROWTH factors - Abstract
Abstract: In the present study, we show that the treatment of Epstein–Barr virus (EBV) latently infected Raji cells with TPA/SB caused the cell growth arrest. The Zta-positive cells were predominantly enriched in G0/G1 phase of cell cycle. When Zta expression reached a maximal level, a fraction of Zta expressing cell population reentered S phase. Analysis of the expression pattern of a key set of cell cycle regulators revealed that the expression of Zta and Rta substantially interfered with the cell cycle regulatory machinery in Raji cells, strongly inhibiting the expression of Rb and p53 and inducing the expression of E2F1. Down-regulation of Rb was further demonstrated to be mediated by proteasomal degradation, and p53 and p21 affected at transcription level. The data indicate that both Zta and Rta promote entry into S phase of Raji cells. The important roles of Zta and Rta in EBV lytic reactivation were also demonstrated. Our finding suggests that these two transcriptional activators may act synergistically to govern the expression of downstream early and late genes as well as cellular genes and initiation of lytic cycle and manipulation of cell cycle regulatory mechanisms require the joint and interactive contributions of Rta and Zta. [Copyright &y& Elsevier]
- Published
- 2010
- Full Text
- View/download PDF
47. Effect of rapid thermal annealing on Si rich SiO2 films prepared using atom beam sputtering technique
- Author
-
Warang, Trupti N., Kabiraj, D., Avasthi, D.K., Jain, K.P., Joshi, K.U., Narsale, A.M., and Kothari, D.C.
- Subjects
- *
EFFECT of temperature on metals , *RAPID thermal processing , *SILICON oxide films , *SPUTTERING (Physics) , *ATOMIC beams , *METAL clusters , *INORGANIC synthesis , *FOURIER transform infrared spectroscopy - Abstract
Abstract: We report here the synthesis of silicon-nanoclusters embedded in SiO2 by atom beam co-sputtering technique. A sputtering target consisted of 40% and 60% area of Si pieces glued on a fused silica plate. A co-sputtered film containing Si embedded in SiO2 having different compositional fractions of Si was deposited on fused silica and c-Si substrates. Annealing was done in N2 atmosphere for 1 min at temperatures ranging from 700–900 °C to precipitate silicon nanoclusters. Samples were characterized using Raman and FTIR spectroscopy. In IR absorption spectra, the blue shift of the Si–O–Si asymmetric stretching band, from 1017 cm−1 for as-deposited samples, to 1070 cm−1 for samples annealed at 900 °C, indicates that phase separation of Si and SiO2 has been completed and films consist of Si particles embedded in an SiO2 matrix. Raman spectrum showed the emergence of 513 cm−1 due to nanocrystalline silicon after RTA at 900 °C. [Copyright &y& Elsevier]
- Published
- 2009
- Full Text
- View/download PDF
48. Chemical structure and electrical properties of sputtered HfO2 films on Si substrates annealed by rapid thermal annealing
- Author
-
Tan, Tingting, Liu, Zhengtang, Lu, Hongcheng, Liu, Wenting, and Yan, Feng
- Subjects
- *
CHEMICAL structure , *ELECTRIC properties of metallic films , *HAFNIUM oxide , *SPUTTERING (Physics) , *SILICON , *ANNEALING of metals , *RAPID thermal processing , *MAGNETRON sputtering - Abstract
Abstract: The chemical structure and electrical properties of HfO2 thin film grown by rf reactive magnetron sputtering after rapid thermal annealing (RTA) were investigated. The chemical composition of HfO2 films and interfacial chemical structure of HfO2/Si in relation to the RTA process were examined by X-ray photoelectron spectroscopy. Hf 4f and O 1s core level spectra suggest that the as-deposited HfO2 film is nonstoichiometric and the peaks shift towards lower binding energy after RTA. The Hf–Si bonds at the HfO2/Si interface can be broken after RTA to form Hf–Si–O bonds. The electrical characteristics of HfO2 films were determined by capacitance–voltage (C–V) and current density–voltage (J–V) measurements. The results showed that the density of fixed charge and leakage current density of HfO2 film were decreased after the RTA process in N2 atmosphere. [Copyright &y& Elsevier]
- Published
- 2009
- Full Text
- View/download PDF
49. The influence of annealing on manganese implanted GaAs films
- Author
-
Bürger, Danilo, Zhou, Shengqiang, Grenzer, Jörg, Reuther, Helfried, Anwand, Wolfgang, Gottschalch, Volker, Helm, Manfred, and Schmidt, Heidemarie
- Subjects
- *
ANNEALING of crystals , *MANGANESE , *ION implantation , *GALLIUM arsenide , *THIN films , *MOLECULAR beam epitaxy , *SPUTTERING (Physics) , *X-ray diffraction - Abstract
Abstract: Besides low-temperature molecular beam epitaxy, ion implantation provides an alternative route to incorporate Mn into GaAs above the equilibrium solubility limit. Recently, Mn implanted GaAs diluted magnetic semiconductor was obtained by pulsed laser annealing. However, post-implantation annealing can lead to the formation of secondary phases. In order to compare the post-annealing effect, we investigate GaMnAs by implanting up to 6at% Mn followed by rapid thermal and flashlamp annealing. The structural properties were probed by high resolution X-ray diffraction. The magnetic properties were determined by SQUID measurements. Auger electron spectroscopy has been used to profile the depth distribution of Mn in GaAs after implantation and annealing. We elucidate after implantation a loss of As and that during rapid thermal annealing most of the Mn diffuses towards the surface. Flash lamp annealing prevents out-diffusion, but the recrystallisation efficiency is low. Only the flash lamp annealed samples reveal weak ferromagnetism. [Copyright &y& Elsevier]
- Published
- 2009
- Full Text
- View/download PDF
50. Low temperature formation of luminescent Si nanocrystals with combined process of excimer UV-light irradiation and RTA
- Author
-
Iwayama, T.S., Fukaya, S., Watanabe, H., Hama, T., and Hole, D.E.
- Subjects
- *
NANOCRYSTALS , *SILICON oxide , *RAPID thermal processing , *ANNEALING of crystals , *LOW temperatures , *PHOTOLUMINESCENCE , *ION implantation , *IRRADIATION - Abstract
Abstract: Si ion implantation was widely used to synthesize specimens of SiO2 containing supersaturated Si and subsequent high temperature annealing induces the formation of embedded luminescent Si nanocrystals. In this work, the potentialities of excimer UV-light (172nm, 7.2eV) irradiation and rapid thermal annealing (RTA) to achieve low temperature (below 1000°C) formation of luminescent Si nanocrystals in SiO2 have been investigated. The Si ions were introduced at acceleration energy of 180keV to fluences of 7.5×1016 and 1.5×1017 ions/cm2. The implanted samples were subsequently irradiated with an excimer-UV lamp for 2h. After the process, the samples were rapidly thermal annealed at 1050°C for 5min before furnace annealing (FA) at 900°C. Photoluminescence spectra were measured at various stages at the process. Effective visible photoluminescence is found to be observed even after FA at 900°C, only for specimens treated with excimer-UV lamp and RTA, prior to a low temperature FA process. Based on our experimental results, we discuss the mechanism for the initial formation process of the luminescent Si nanocrystals in SiO2, together with the effects with excimer lamp irradiation and RTA process on the luminescence. [Copyright &y& Elsevier]
- Published
- 2009
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.