Search

Your search keyword '"Clock domain crossing"' showing total 56 results

Search Constraints

Start Over You searched for: Descriptor "Clock domain crossing" Remove constraint Descriptor: "Clock domain crossing" Publisher acm Remove constraint Publisher: acm
56 results on '"Clock domain crossing"'

Search Results

1. A Clock Skewing Strategy to Reduce Power and Area of ASIC Circuits

2. Power model analysis using variable rate clock network in CMOS processor

3. Designing approximate circuits using clock overgating

4. Stratix™ 10 High Performance Routable Clock Networks

5. Construction of reconfigurable clock trees for MCMM designs

6. Skew Bounded Buffer Tree Resynthesis For Clock Power Optimization

7. Clock Skew Scheduling in the Presence of Heavily Gated Clock Networks

8. Real-time control under clock offsets between sensors and controllers

9. Gated low-power clock tree synthesis for 3D-ICs

10. PACMAN

11. OCV-aware top-level clock tree optimization

12. Novel FPGA clock network with low latency and skew (abstract only)

13. Formal approach to guard time optimization for TDMA

14. An optimal algorithm of adjustable delay buffer insertion for solving clock skew variation problem

15. Multi-corner multi-voltage domain clock mesh design

16. Clock mesh synthesis with gated local trees and activity driven register clustering

17. High-performance, low-power resonant clocking

18. A novel hybrid FIFO asynchronous clock domain crossing interfacing method

19. Crosslink insertion for variation-driven clock network construction

20. Cross clock-domain TDM virtual circuits for networks on chips

21. Synthesis of low power clock trees for handling power-supply variations

22. Grid-to-ports clock routing for high performance microprocessor designs

23. INTEGRA

24. A low-power clock gating cell optimized for low-voltage operation in a 45-nm technology

25. An efficient phase detector connection structure for the skew synchronization system

26. Analysis of high-performance clock networks with RLC and transmission line effects

27. Serial reconfigurable mismatch-tolerant clock distribution

28. Clock skew optimization via wiresizing for timing sign-off covering all process corners

29. Industrial clock design

30. Clock power reduction for virtex-5 FPGAs

31. Type-matching clock tree for zero skew clock gating

32. Automatic synthesis of clock gating logic with controlled netlist perturbation

33. A new paradigm for synthesis and propagation of clock gating conditions

34. Low-power clock distribution in a multilayer core 3d microprocessor

35. Activity and register placement aware gated clock network design

36. Symmetric clock synchronization in sensor networks

37. Built-in clock skew system for on-line debug and repair

38. Clock distribution scheme using coplanar transmission lines

39. A 65-nm pulsed latch with a single clocked transistor

40. End-to-end one-way delay estimation using one-way delay variation and round-trip time

41. Skew spreading for peak current reduction

42. Energy aware multiple clock domain scheduling for a bit-serial, self-timed architecture

43. A non-orthogonal clock distribution network and its performance evaluation in presence of process variations and inductive effects

44. High speed differential pulse-width control loop based on frequency-to-voltage converters

45. A resource--efficient time estimation for wireless sensor networks

46. Improved clock-gating through transparent pipelining

47. Analysis and verification of interconnected rings as clock distribution networks

48. Design of a 10GHz clock distribution network using coupled standing-wave oscillators

49. A globally asynchronous locally dynamic system for ASICs and SoCs

50. Interconnected rings and oscillators as gigahertz clock distribution nets

Catalog

Books, media, physical & digital resources