204 results on '"spintronics"'
Search Results
2. Non-equilibrium orbital angular momentum for orbitronics.
- Author
-
Go, Dongwook, Mokrousov, Yuriy, and Kläui, Mathias
- Subjects
- *
ANGULAR momentum (Mechanics) , *SPINTRONICS , *MAGNETIZATION - Abstract
Efficient manipulation of magnetization by electrical current is a key aim in spintronics. The state-of-the-art theories and experiments in spintronics show that harnessing non-equilibrium orbital angular momentum can significantly enhance the efficiency due to novel torques. Devices are based on environment-friendly materials, which has been difficult to achieve by the mechanisms based on spin only, and this has also kickstarted a new emerging field of research: orbitronics. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
3. A class of high-mobility layered nanomaterials by design.
- Author
-
Averyanov, Dmitry V., Sokolov, Ivan S., Parfenov, Oleg E., Taldenkov, Alexander N., Kondratev, Oleg A., Tokmachev, Andrey M., and Storchak, Vyacheslav G.
- Subjects
NANOSTRUCTURED materials ,TECHNOLOGICAL innovations ,ATOMIC structure ,CHARGE carrier mobility ,GERMANIUM ,SPINTRONICS - Abstract
• A new technology for synthesis of layered metals is developed. • The key ingredient is sacrificial 2D templates. • The technology results in epitaxial films of MAl 2 Ge 2 seamlessly integrated with Ge. • A class of high-mobility layered nanomaterials is designed. Design of materials with particular functional properties is indispensable albeit very challenging. Chemical and structural analogies can be helpful in this endeavor, especially when a particular combination of properties is sought after. Our aim is to bundle together 3 characteristics: high carrier mobility, magnetism, and scalability to nanomaterials in the form of a film – such a combination is particularly advantageous for spintronics. Here, inspired by recent studies of MAl 2 Si 2 and related compounds, we develop magnetic EuAl 2 Ge 2 and non-magnetic SrAl 2 Ge 2 nanomaterials with high carrier mobility. Topotactic syntheses employing sacrificial 2D templates result in epitaxial films of MAl 2 Ge 2 seamlessly integrated with germanium. The syntheses are followed by a study of the atomic structure, magnetic and electron transport properties. In particular, the films demonstrate high carrier mobility, exceeding 10,000 cm
2 V–1 s–1 in the case of EuAl 2 Ge 2 , making the materials appealing for applications. Taken together, MAl 2 Ge 2 and MAl 2 Si 2 form a class of high-mobility layered nanomaterials. [Display omitted] [ABSTRACT FROM AUTHOR]- Published
- 2023
- Full Text
- View/download PDF
4. Photon counting advances push the boundaries of quantum innovation.
- Author
-
Fourtané, Susan
- Subjects
PHOTON counting ,SPINTRONICS ,NANOWIRES ,NANOELECTROMECHANICAL systems ,QUANTUM optics ,EXTRATERRESTRIAL life - Abstract
This article provides an overview of recent advancements in single-photon detection technology and its applications in quantum innovation. It discusses the development of the PEACOQ detector by NASA's Jet Propulsion Laboratory, which offers high-speed single-photon counting and timing resolution for long-range quantum communication networks. The article also highlights the creation of a superconducting camera by the National Institute of Standards and Technology, capable of detecting single photons with its 400,000 pixels. Additionally, it mentions the integration of single-photon detectors on a silicon photonics platform by researchers in Grenoble, France, and the advancements made by Indian researchers in using 2D materials for single-photon detection. [Extracted from the article]
- Published
- 2024
5. OPPORTUNITIES AND CHALLENGES FOR SPINTRONICS.
- Author
-
Adelmann, C., Jenkins, A., Pirro, P., Schultheiss, K., van Dijken, S., Kruglyak, V., and Bortolotti, P.
- Subjects
- *
ELECTRIC charge , *ELECTRIC currents , *ELECTRON spin , *SPINTRONICS , *SEMICONDUCTORS , *COMPLEMENTARY metal oxide semiconductors - Abstract
Conventional electronics use the flow of electric charges and are based on standard semiconductors. Spintronic devices exploit the electrons' spin to generate and control currents and to combine electric and magnetic signals. Today there is a strong effort worldwide to integrate spintronic devices with standard CMOS technology towards hybrid spin-CMOS chips, offering advantages in terms of power consumption, compactness, and speed. Recent results (from SAMSUNG [1], TSMC [2], etc.) confirm the merit of this approach. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
6. CYLINDRICAL MAGNETIC NANOWIRES: GEOMETRY, MAGNETISATION AND APPLICATIONS.
- Author
-
Vazquez, Manuel
- Subjects
- *
MAGNETIZATION , *NANOWIRES , *SPINTRONICS , *GEOMETRY , *MAGNETISM , *NANOSTRUCTURES - Abstract
Emerging magnetism phenomena are observed in curvilinear nanostructures. Particularly, cylindrical metallic nanowires are attracting much attention because of their singular magnetic configurations and remagnetisation processes as determined by advanced microscopy techniques and micromagnetism. Profiting of curvature, applications are envisaged in spintronics, spincaloritronics, sensors, robotics or biomedicals. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
7. USING SUPERCONDUCTIVITY TO CONTROL MAGNETISM: A FACET OF SUPERCONDUCTING SPINTRONICS.
- Author
-
Kamra, Lina Johnsen and Kamra, Akashdeep
- Subjects
- *
SPINTRONICS , *SUPERCONDUCTIVITY , *MAGNETISM , *ELECTRIC currents , *MAGNETIC moments - Abstract
Magnets are used in electronics to store and read information. A magnetic moment is rotated to a desired direction, so that information can later be retrieved by reading this orientation. Controlling the moment via electric currents causes resistive losses and heating, a major bottleneck in advancing computing technologies. Superconducting spintronics can resolve this using the unique features of superconductors. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
8. A Fast, Energy Efficient and Tunable Magnetic Tunnel Junction Based Bitstream Generator for Stochastic Computing.
- Author
-
Becle, Etienne, Prenat, Guillaume, Talatchian, Philippe, Anghel, Lorena, and Prejbeanu, Ioan-Lucian
- Subjects
- *
MAGNETIC tunnelling , *BINARY sequences , *BIT rate - Abstract
This paper presents a full hardware implementation of a magnetic tunnel junction based stochastic tunable bitstream generator. It provides highly accurate control of the switching probability, while showing important robustness to process and temperature variations. We propose a new architecture of sensing scheme based on the pre-charged sense amplifier approach that uses an asynchronous digital module to control the internal signals of the sense amplifier with the purpose of improving the reliability against the timing hazards and reducing the power consumption by detecting the end of the reading to stop the required static currents. The circuit also features a digital feedback loop that analyzes the output bitstream and adapt the current in such a way that the bitstream encodes precisely the required probability. This circuit features an important bit generation rate at a low energy cost. Based on an exhaustive characterization of the circuit, we provide a behavioral description in Verilog, with timing and power files to be integrated as a standard cell in the digital design flow for application level evaluation of the performance. Thus, we also provide a design and evaluation flow from device to digital level of abstraction. [ABSTRACT FROM AUTHOR]
- Published
- 2022
- Full Text
- View/download PDF
9. A Dual-Domain Dynamic Reference Sensing for Reliable Read Operation in SOT-MRAM.
- Author
-
Kim, Jooyoon, Jang, Yunho, Kim, Taehwan, and Park, Jongsun
- Subjects
- *
RANDOM access memory , *TUNNEL magnetoresistance , *VOLTAGE references , *MAGNETIC torque , *COMPLEMENTARY metal oxide semiconductors - Abstract
Although spin orbit torque magnetic random access memory (SOT-MRAM) is one of the strong candidates for next-generation embedded memories, the degradation of read margin due to low tunnel magnetoresistance ratio (TMR) with process variations has been a large concern. In this paper, we present the dual-domain dynamic reference (DDDR) sensing scheme, where the reference voltage can be dynamically changed based on the combined voltage and time domain sensing to increase the sensing margin. The Half Schmitt trigger and sample & hold circuits are efficiently employed to generate data-dependent reference voltages and to store the sampled voltage levels at different times, respectively. According to the simulations using 28nm CMOS technology with 128 by 128 SOT-MRAM array, the proposed DDDR approach achieves a 243mV of sensing margin under 6.08E-8 bit-error-rate (BER) at 1.76ns, which is 2X larger margin with more than 100 times lower BER compared to the conventional read scheme. When scaling down the pre-charge voltage, the proposed scheme achieves more than 50% of the read energy savings under 1E-5 target BER condition. [ABSTRACT FROM AUTHOR]
- Published
- 2022
- Full Text
- View/download PDF
10. When injecting pure spin into chiral materials, direction matters.
- Subjects
MEDICAL electronics ,ELECTRON spin ,ORGANIC electronics ,SPIN polarization ,SPINTRONICS - Abstract
Researchers from North Carolina State University and the University of Pittsburgh have discovered that the direction in which spin information is injected into chiral materials affects its ability to pass through them. Chiral materials are those that cannot be superimposed on their mirror image. This finding could be used to design energy-efficient spintronic devices for data storage, communication, and computing. The researchers used two different approaches to inject pure spin into chiral materials and found that the absorption of spin current strongly depends on the angle between the spin polarization and chiral axis. This work challenges previous assumptions about chiral materials and spin and opens up new avenues for exploration. [Extracted from the article]
- Published
- 2024
11. Magnetoresistive Circuits and Systems: Embedded Non-Volatile Memory to Crossbar Arrays.
- Author
-
Agrawal, Amogh, Wang, Cheng, Sharma, Tanvi, and Roy, Kaushik
- Subjects
- *
RANDOM number generators , *RANDOM access memory , *COMBINATORIAL optimization , *MEMORY , *MAGNETIC tunnelling , *CACHE memory - Abstract
This overview article describes Magnetoresistive Random Access Memory (MRAM) from a circuits and systems perspective. We discuss various tradeoffs and design challenges of MRAM in three broad application areas: 1) embedded non-volatile memory (eNVMs), 2) crossbar-based analog in-memory computing, and 3) stochastic computing. Certain MRAM characteristics, such as high retention, high endurance and fast read and write operations, make them ideal for replacing the standard CMOS memories for last-level cache applications with future scaling. However, various tradeoffs in power, performance and area pose conflicting requirements on MRAM design. We explore these challenges and various circuit techniques that have been developed to mitigate them. Further, we present various requirements of memristive crossbar arrays for accelerating matrix-vector-multiplication (MVM) operations in light of MRAM devices, and highlight various challenges, design considerations, and applicability of MRAM as crossbar arrays. Finally, we will elaborate on how inherent stochasticity of MRAM devices can be leveraged for implementing energy-efficient true random number generators (TRNGs) and stochastic units for performing certain tasks, such as developing fast solvers for combinatorial optimization, and stochastic neural networks. [ABSTRACT FROM AUTHOR]
- Published
- 2021
- Full Text
- View/download PDF
12. Non-Volatile Approximate Arithmetic Circuits Using Scalable Hybrid Spin-CMOS Majority Gates.
- Author
-
Jiang, Honglan, Angizi, Shaahin, Fan, Deliang, Han, Jie, and Liu, Leibo
- Subjects
- *
COMPLEMENTARY metal oxide semiconductors , *ARITHMETIC , *IMAGE compression , *ENERGY consumption , *GATES - Abstract
In the nanoscale era, leakage/static power dissipation has become an inevitable and important issue for CMOS devices. To alleviate this issue, we propose to use spintronic devices with near-zero leakage power and non-volatility as key components in arithmetic circuits for error-resilient applications. To this end, spintronic threshold devices are first utilized to construct highly-scalable majority gates (MGs) based on spin-CMOS technology. These MGs are then used in the design of compressors for constructing multipliers and accumulators. For an MG-based compressor, the truth table of a conventional compressor is transformed to ensure that the outputs depend only on the number of input “1”s. To synthesize and optimize the MG-based circuits, a heuristic majority-inverter graph (HMIG) is further proposed for the design of an accurate and two approximate non-volatile 4–2 compressors (denoted as MG-EC, MG-AC1 and MG-AC2). Due to the high scalability of the MGs, approximate compressors with a larger number of inputs can be devised using the same method. Compared to previous designs, the proposed 4–2 compressors show shorter critical path delays and lower energy consumption; MG-AC1 and MG-AC2 also achieve a higher accuracy than state-of-the-art approximate designs. For achieving a similar image quality in image compression, the multiplier implementations using MG-AC1 and MG-AC2 result in more significant reductions in delay and energy than those using other approximate designs. [ABSTRACT FROM AUTHOR]
- Published
- 2021
- Full Text
- View/download PDF
13. Time-Domain Computing in Memory Using Spintronics for Energy-Efficient Convolutional Neural Network.
- Author
-
Zhang, Yue, Wang, Jinkai, Lian, Chenyu, Bai, Yining, Wang, Guanda, Zhang, Zhizhong, Zheng, Zhenyi, Chen, Lei, Zhang, Kun, Sirakoulis, Georgios, and Zhang, Youguang
- Subjects
- *
CONVOLUTIONAL neural networks , *SPINTRONICS , *RANDOM access memory , *MEMORY , *MAGNETIC torque - Abstract
The data transfer bottleneck in Von Neumann architecture owing to the separation between processor and memory hinders the development of high-performance computing. The computing in memory (CIM) concept is widely considered as a promising solution for overcoming this issue. In this article, we present a time-domain CIM (TD-CIM) scheme using spintronics, which can be applied to construct the energy-efficient convolutional neural network (CNN). Basic Boolean logic operations are implemented through recording the bit-line output at different moments. A multi-addend addition mechanism is then introduced based on the TD-CIM circuit, which can eliminate the cascaded full adders. To further optimize the compatibility of TD-CIM circuit for CNN, we also propose a quantization method that transforms floating-point parameters of pre-trained CNN models into fixed-point parameters. Finally, we build a TD-CIM architecture integrating with a highly reconfigurable array of field-free spin-orbit torque magnetic random access memory (SOT-MRAM) and evaluate its benefits for the quantized CNN. By performing digit recognition with the MNIST dataset, we find that the delay and energy are respectively reduced by 1.2-2.7 times and $2.4\times 10 ^{3} - 1.1\times 10 ^{4}$ times compared with STT-CIM and CRAM based on spintronic memory. Finally, the recognition accuracy can reach 98.65% and 91.11% on MNIST and CIFAR-10, respectively. [ABSTRACT FROM AUTHOR]
- Published
- 2021
- Full Text
- View/download PDF
14. MRAM-Enhanced Low Power Reconfigurable Fabric With Multi-Level Variation Tolerance.
- Author
-
Zand, Ramtin and DeMara, Ronald F.
- Subjects
- *
SPIN transfer torque , *SEQUENTIAL circuits , *RANDOM access memory , *ENERGY consumption , *FIELD programmable gate arrays - Abstract
A hybrid device technology reconfigurable logic fabric is proposed which leverages the cooperating advantages of distinct magnetic random access memory (MRAM)-based look-up tables (LUTs) to realize sequential logic circuits, along with conventional SRAM-based LUTs to realize combinational logic paths. A hierarchical top-down design approach is used to develop a hybrid spin/charge based FPGA (HSC-FPGA) starting from the configurable logic block (CLB) and slice structures down to LUT circuits and the corresponding device fabrication challenges. Circuit-level simulations indicate at least 40% and 83% read and standby power reduction, respectively, for MRAM-LUTs compared to SRAM-LUTs. However, MRAM-LUTs using spin transfer torque (STT) switching approach suffers from significant write energy consumption. Therefore, we have designed spin Hall effect (SHE)-assisted MRAM-LUTs realizing more than 67% and 61% reduction in reconfiguration energy and area consumption, respectively. Fabric-level simulations exhibit that HSC-FPGA achieves 70% and 30% reductions in standby and read power, respectively, compared to SRAM-based FPGAs for various ISCAS-89 and ITC-99 benchmark circuits. Finally, a multi-level method spanning device-sizing, circuit modular-redundancy, and component-level reconfiguration is developed to increase the process variation resiliency of the MRAM-LUTs. The power consumption and area utilization are analyzed to formulate tradeoffs resulting in recommendations toward future multi-device-based reconfigurable fabrics. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
15. Data in the fast lanes of racetrack memory.
- Author
-
Parkin, Stuart S. P.
- Subjects
- *
NANOSCIENCE , *INTEGRATED circuit design , *HARD disks , *SPINTRONICS ,COMPUTER storage device design & construction - Abstract
This article discusses the development of a nanoscopic wire that can be used in integrated circuits to move data more quickly than existing technologies. The potential for this technology to out-pace magnetic hard disk drives and flash memory as a means of storing and transferring data is considered. The potential for this application of nanotechnology to eliminate performance gaps in magnetic hard drives is considered. INSETS: RACETRACKS FOR DATA;USING SPIN TO MOVE BITS;Emerging Memories.
- Published
- 2009
- Full Text
- View/download PDF
16. The diamond age of spintronics.
- Author
-
Awschalom, David D., Epstein, Ryan, and Hanson, Ronald
- Subjects
- *
DIAMONDS , *ARTIFICIAL diamonds , *QUANTUM computers , *TECHNOLOGICAL innovations , *SPINTRONICS , *NANOTECHNOLOGY - Abstract
The article discusses some of the ways in which scientists are planning on implementing diamonds in technological projects. Through synthetically creating diamonds to have certain impurities, scientists can control what properties a diamond will have. The author explains that diamonds may be used to create a quantum computer that would function at a level unlike the computers made in 2007. INSETS: A MAGICAL IMPURITY;DIAMOND'S MANY FACETS.
- Published
- 2007
- Full Text
- View/download PDF
17. Study Findings on Applied Physics Are Outlined in Reports from University of Groningen (Spin selectivity in elemental tellurium and other chiral materials).
- Subjects
TELLURIUM ,RESEARCH personnel ,PHYSICS ,SPINTRONICS ,ROAD maps - Abstract
A recent study conducted by researchers at the University of Groningen in the Netherlands explores the phenomenon of chirality-induced spin selectivity (CISS) in non-magnetic solid-state materials. The study focuses on the potential applications of CISS in spintronics and other fields, highlighting the superior performance of chiral systems in terms of conversion efficiency and spin transport. The researchers examine the role of chiral crystals, such as elemental tellurium, and discuss the unconventional Rashba-Edelstein effect as a possible explanation for spin selectivity. The study also explores factors affecting spin transport and provides a roadmap for future theoretical, experimental, and applied studies in this area. [Extracted from the article]
- Published
- 2024
18. Spintronics.
- Author
-
Awschalom, David D., Flatté, Michael E., Samarth, Nitin, and Flatté, Michael E
- Subjects
- *
SPINTRONICS , *MINIATURE electronic equipment , *INTEGRATED circuits , *QUANTUM electronics , *FORECASTING , *TECHNOLOGICAL innovations - Abstract
Reports on microelectronic devices that function by using the spin of the electron with the idea that the industry might lead to quantum microchips. Topics of miniaturiztion of semiconducter electronic devices, the exploitation of spin currents, the spin-polarized field-effect transistor, and magnetic semiconductors; Characteristics that are necessary for attaining long spin coherence times; Outlook for spintronics and quantum information processing. INSETS: FUNDAMENTALS OF SPIN;MAGNETIC TUNNEL JUNCTION;A SPIN TRANSISTOR CONCEPT;SPIN DRAGGING IN SEMICONDUCTORS
- Published
- 2002
- Full Text
- View/download PDF
19. Magnetic Diversion for Electronic Switches.
- Author
-
Edwards, Chris
- Subjects
- *
MAGNETIC fields , *COMPUTER equipment , *ELECTRONIC equipment , *HIGH performance processors , *SPINTRONICS , *TRANSISTORS - Abstract
The article discusses changes in the polarity of magnetic fields in the development of computing devices. Topics covered include the development of chameleon processors at the Spin Convergence Center in Seoul, South Korea, the benefit of incorporating nonvolatile memory into the devices' structure, the motivation for developing spintronic and hybrid devices, the problem with the transistors being used in computers and a study from Stanford University on the impact-ionization switch as an alternative to the conventional transistor.
- Published
- 2013
- Full Text
- View/download PDF
20. Leveraging Spintronics: A Computer Code that can Hunt for Ferromagnetic Materials.
- Author
-
Srinivas, Susheela
- Subjects
SPINTRONICS ,INFORMATION retrieval ,ELECTRON spin ,ELECTRIC power consumption ,CURIE temperature - Abstract
The article discusses spintronics, an emerging technology that relies on manipulating the spin direction of electrons and use it for information storage. Expected advantages of the technology include reducing power consumption in the chips as spintronics uses minimal electrical energy to change the spin direction. Indian researchers have developed a computer code that can calculate the Curie temperatures of two-dimensional materials for spintronic devices from their crystal structures.
- Published
- 2020
21. Time-Based Sensing for Reference-Less and Robust Read in STT-MRAM Memories.
- Author
-
Trinh, Quang-Kien, Ruocco, Sergio, and Alioto, Massimo
- Subjects
- *
RANDOM access memory , *SPIN transfer torque , *BIT error rate - Abstract
This paper introduces the concept of time-based sensing (TBS) for bitcell read in spin transfer torque magnetic RAMs arrays. The TBS scheme converts the bitline voltage into time, then the sense amplifier discriminates the two bitcell levels in the time domain. The TBS scheme substantially improves the read yield compared to conventional voltage sensing (CVS). As further advantage, TBS requires no analog reference generation and distribution by leveraging the implicit timing reference set by the gate delay in the sense amplifier. Monte Carlo simulations in 65 nm show that the proposed TBS improves the read bit error rate (BER) by two-three orders of magnitude, compared to CVS. This is achieved at the cost of less than 1% area penalty and 13–14% performance degradation, and insignificant (2%) energy penalty when designed at iso-area (minimum delay). Compared to other sensing schemes at iso-BER, the proposed TBS scheme achieves a more favorable area-robustness-energy-performance tradeoff. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
22. Design of Feedback Control Laws for Information Transfer in Spintronics Networks.
- Author
-
Schirmer, Sophie G., Jonckheere, Edmond A., and Langbein, Frank C.
- Subjects
- *
QUANTUM information science , *SPINTRONICS , *FEEDBACK control systems , *KNOWLEDGE transfer , *QUANTUM entanglement - Abstract
Information encoded in networks of stationary, interacting spin-1/2 particles is central for many applications ranging from quantum spintronics to quantum information processing. Without control, however, information transfer through such networks is generally inefficient. Currently available control methods to maximize the transfer fidelities and speeds mainly rely on dynamic control using time-varying fields and often assume instantaneous readout. We present an alternative approach to achieving efficient, high-fidelity transfer of excitations by shaping the energy landscape via the design of time-invariant feedback control laws without recourse to dynamic control. Both instantaneous readout and the more realistic case of finite readout windows are considered. The technique can also be used to freeze information by designing energy landscapes that achieve Anderson localization. Perfect state or superoptimal transfer and localization are enabled by conditions on the eigenstructure of the system and signature properties for the eigenvectors. Given the eigenstructure enabled by superoptimality, it is shown that feedback controllers that achieve perfect state transfer are, surprisingly, also the most robust with regard to uncertainties in the system and control parameters. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
23. Dynamic Reference Voltage Sensing Scheme for Read Margin Improvement in STT-MRAMs.
- Author
-
Trinh, Quang-Kien, Ruocco, Sergio, and Alioto, Massimo
- Subjects
- *
RANDOM access memory , *ELECTRIC potential , *SPINTRONICS - Abstract
This paper proposes a novel approach to enhance the STT-MRAMs read margin based on the concept of dynamic reference (DR). Our dynamic reference scheme dynamically adjusts the sense amplifier reference voltage according to the bitline voltage, aiming to widen the difference between the bitline and the reference voltage (i.e., the read margin). As a result, larger variations can be accommodated, thus improving the read robustness and substantially reducing the read failure rate. This DR scheme does not require any change in the bitcell and requires minimal modifications of conventional arrays, hence it can be jointly used with existing assist techniques enhancing the read robustness. From Monte Carlo simulations in 65 nm, the proposed DR scheme improves the read bit error rate by two orders of magnitude across a wide range of voltages (0.75–1.2 V) compared with the conventional voltage sensing scheme. This is achieved at 0.3% area overhead, less than 15% performance degradation, and less than 25% energy penalty. Furthermore, the joint adoption of the DR approach and switched-cap bitline boosting further reduces the sense amplifier area by 25% at iso-failure rate, and reduces the energy by 6–10% compared with the standalone DR. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
24. Structured Singular Value Analysis for Spintronics Network Information Transfer Control.
- Author
-
Jonckheere, Edmond A., Schirmer, Sophie G., and Langbein, Frank C.
- Subjects
- *
SPINTRONICS , *AUTOMATIC control systems , *ELECTRONIC excitation , *MATHEMATICAL optimization , *LOGARITHMS , *PERTURBATION theory - Abstract
Control laws for selective transfer of information encoded in excitations of a quantum network, based on shaping the energy landscape using time-invariant, spatially-varying bias fields, can be successfully designed by using numerical optimization. Such control laws, already departing from classicality by replacing closed-loop asymptotic stability with alternative notions of localization, have the intriguing property that for all practical purposes they achieve the upper bound on the fidelity, yet the (logarithmic) sensitivity of the fidelity to such structured perturbation as spin coupling errors and bias field leakages is nearly vanishing. Here, these differential sensitivity results are extended to large structured variations using $\mu$ -design tools to reveal a crossover region in the space of controllers where objectives usually thought to be conflicting are actually concordant. [ABSTRACT FROM PUBLISHER]
- Published
- 2017
- Full Text
- View/download PDF
25. Reports Summarize Nanoparticles Study Results from Shoolini University (Rare Earth Doped ZnO Nanoparticles as Spintronics and Photo Catalyst for Degradation of Pollutants).
- Subjects
RARE earth oxides ,POLLUTANTS ,NANOPARTICLES ,ZINC oxide ,SPINTRONICS ,TETRACYCLINES - Abstract
The optical band gap was tailored by rare earth doping, with redshift for Sm and Dy doped nanoparticles and blueshift for Nd doped ZnO nanoparticles. Keywords: Drugs and Therapies; Emerging Technologies; Nanoparticles; Nanotechnology; Technology; Zinc Oxide; Zinc Oxide Nanotechnology EN Drugs and Therapies Emerging Technologies Nanoparticles Nanotechnology Technology Zinc Oxide Zinc Oxide Nanotechnology 1711 1711 1 04/10/23 20230414 NES 230414 2023 APR 14 (NewsRx) -- By a News Reporter-Staff News Editor at Drug Week -- Fresh data on nanoparticles are presented in a new report. [Extracted from the article]
- Published
- 2023
26. A Vision for All-Spin Neural Networks: A Device to System Perspective.
- Author
-
Sengupta, Abhronil and Roy, Kaushik
- Subjects
- *
SPIN transfer torque , *NEURAL circuitry , *MAGNETIC tunnelling - Abstract
Spin-transfer torque (STT) mechanisms in vertical and lateral spin valves and magnetization reversal/domain wall motion with spin-orbit torque (SOT) have opened up new possibilities of efficiently mimicking “neural” and “synaptic” functionalities with much lower area and energy consumption compared to CMOS implementations. In this paper, we review various STT/SOT devices that can provide a compact and area-efficient implementation of artificial neurons and synapses. We provide a device-circuit-system perspective and envision design of an All-Spin neuromorphic processor (with different degrees of bio-fidelity) that can be potentially appealing for ultra-low power cognitive applications. [ABSTRACT FROM PUBLISHER]
- Published
- 2016
- Full Text
- View/download PDF
27. Novel Boosted-Voltage Sensing Scheme for Variation-Resilient STT-MRAM Read.
- Author
-
Trinh, Quang Kien, Ruocco, Sergio, and Alioto, Massimo
- Subjects
- *
ELECTRIC potential measurement , *VOLTAGE references , *SPINTRONICS , *SPIN transfer torque , *MRAM devices , *BIT error rate measurement , *EQUIPMENT & supplies - Abstract
This paper proposes a novel boosted voltage sensing (BVS) scheme that substantially improves the resiliency of STT-MRAMs against variations in read accesses based on bitline voltage sensing, and on a wide range of voltages. The BVS scheme mitigates the impact of variations in the senseamp and the reference voltage generation, and is based on switched-capacitor voltage boosters. The related area-performance-energy-resiliency tradeoff is explored, and design guidelines are derived to improve the read margin at minimum overhead. [ABSTRACT FROM PUBLISHER]
- Published
- 2016
- Full Text
- View/download PDF
28. WHAT EVER HAPPENED TO DNA COMPUTING?
- Author
-
Chandrasekaran, Lakshmi
- Subjects
- *
COMPUTER engineering , *TECHNOLOGICAL innovations , *MEMRISTORS , *MOLECULAR computers , *SPINTRONICS , *CARBON nanotubes , *MOLECULAR electronics - Abstract
The article looks at approaches to building computers other than silicon-based semiconductor chips and discusses how those other technologies may be used in the future. It discusses spintronics, memristors, carbon nanotubes, DNA computing, and molecular electronics.
- Published
- 2021
29. ACM Member News.
- Author
-
Delaney, John
- Subjects
- *
SPINTRONICS , *MOORE'S law - Abstract
The article profiles computer science researcher Sachin Sapatnekar of the University of Minnesota, noting his research on Moore's Law and spintronics technology.
- Published
- 2018
30. Spin Hall effects.
- Author
-
Sinova, Jairo, Valenzuela, Sergio O., Wunderlich, J., Back, C. H., and Jungwirth, T.
- Subjects
- *
SPIN Hall effect , *RELATIVISTIC quantum mechanics , *SPIN-orbit interactions , *MAGNETIZATION transfer , *QUANTITATIVE research , *SPINTRONICS - Abstract
Spin Hall effects are a collection of relativistic spin-orbit coupling phenomena in which electrical currents can generate transverse spin currents and vice versa. Despite being observed only a decade ago, these effects are already ubiquitous within spintronics, as standard spin-current generators and detectors. Here the theoretical and experimental results that have established this subfield of spintronics are reviewed. The focus is on the results that have converged to give us the current understanding of the phenomena, which has evolved from a qualitative to a more quantitative measurement of spin currents and their associated spin accumulation. Within the experimental framework, optical-, transport-, and magnetization-dynamics-based measurements are reviewed and linked to both phenomenological and microscopic theories of the effect. Within the theoretical framework, the basic mechanisms in both the extrinsic and intrinsic regimes are reviewed, which are linked to the mechanisms present in their closely related phenomenon in ferromagnets, the anomalous Hall effect. Also reviewed is the connection to the phenomenological treatment based on spin-diffusion equations applicable to certain regimes, as well as the spin-pumping theory of spin generation used in many measurements of the spin Hall angle. A further connection to the spin-current-generating spin Hall effect to the inverse spin galvanic effect is given, in which an electrical current induces a nonequilibrium spin polarization. This effect often accompanies the spin Hall effect since they share common microscopic origins. Both can exhibit the same symmetries when present in structures comprising ferromagnetic and nonmagnetic layers through their induced current-driven spin torques or induced voltages. Although a short chronological overview of the evolution of the spin Hall effect field and the resolution of some early controversies is given, the main body of this review is structured from a pedagogical point of view, focusing on well-established and accepted physics. In such a young field, there remains much to be understood and explored, hence some of the future challenges and opportunities of this rapidly evolving area of spintronics are outlined. [ABSTRACT FROM AUTHOR]
- Published
- 2015
- Full Text
- View/download PDF
31. Defects-Driven Ferromagnetism in Undoped Dilute Magnetic Oxides: A Review.
- Author
-
Ning, Shuai, Zhan, Peng, Xie, Qian, Wang, Weipeng, and Zhang, Zhengjun
- Subjects
FERROMAGNETISM ,DILUTE magnetic materials ,MAGNETIC semiconductors ,MATERIALS science ,SPINTRONICS ,FERROMAGNETIC materials - Abstract
In the past several decades, dilute magnetic semiconductors, particularly the dilute magnetic oxides have evolved into an important branch of materials science due to their potential application in spintronic devices combining of properties of semiconductors and ferromagnets. In spite of a major effort devoted to the mechanism of ferromagnetism with a high Curie temperature in these materials, it still remains the most controversial research topic, especially given the unexpected d 0 ferromagnetism in a series of undoped wide-band-gap oxides films or nanostructures. Recently, an abundance of research has shown the critical role of various defects in the origin and control of spontaneous magnetic ordering, but contradicting views from intertwined theoretical calculations and experiments require more in-depth systematic research. In our previous work, considerable efforts have been focused on two major oxides, i.e. ZnO and ZrO 2 . This review will present a summary of current experimental status of this defect-driven ferromagnetism in dilute magnetic oxides (DMOs). [ABSTRACT FROM AUTHOR]
- Published
- 2015
- Full Text
- View/download PDF
32. Electron-atom scattering resonances: Complex-scaled multiconfigurational spin-tensor electron propagator method for B- shape resonances.
- Author
-
Tsednee, Tsogbayar and Yeager, Danny L.
- Subjects
- *
ELECTRON-atom collisions , *SPINTRONICS , *SCATTERING (Physics) , *ELECTRON spin , *PARAMETERS (Statistics) - Abstract
We develop the complex-scaled multiconfigurational spin-tensor electron propagator (CMCSTEP) technique for the theoretical determination of resonance parameters with electron-atom-molecule systems including open-shell and highly correlated (nondynamical correlation) atoms and molecules. The multiconfigurational spin-tensor electron propagator method developed and implemented by Yeager and his coworkers in real space gives very accurate and reliable ionization potentials and electron affinities. The CMCSTEP method uses a complex-scaled multiconfigurational self-consistent field state as an initial state along with a dilated Hamiltonian where all of the electronic coordinates are scaled by a complex factor. We apply the CMCSTEP and the related M1 methods to get the B- shape resonance parameters using 14s11p and 14s11p5d basis sets with 1s2s2p3s, 1s2s2p3s3p, 1s2s2p3d, 2s2p3s3p, 2s2p3d, and 2s2p3s3p3d complete active spaces. The CMCSTEP and M1 resonance positions and widths are obtained for the 1s²2s²2p²1D, 1s²2s2p33D, and 1s²s22p33D, ³S, and ³P shape resonances. [ABSTRACT FROM AUTHOR]
- Published
- 2015
- Full Text
- View/download PDF
33. Towards holographic spintronics.
- Author
-
Koji Hashimoto, Norihiro Iizuka, and Taro Kimura
- Subjects
- *
SPINTRONICS , *HOLOGRAPHIC displays , *ANGULAR momentum (Mechanics) , *TRANSPORT theory , *FLUCTUATIONS (Physics) , *ELECTRIC conductivity - Abstract
We study transport phenomena of total angular momentum in holography, as a first step toward holographic understanding of spin transport phenomena. Spin current, which has both the local Lorentz index for spins and the space-time vector index for current, couples naturally to the bulk spin connection. Therefore, the bulk spin connection becomes the source for the boundary spin current. This allows us to evaluate the spin current holographically, with a relation to the stress tensor and metric fluctuations in the bulk. We examine the spin transport coefficients and the thermal spin Hall conductivity in a simple holographic setup. [ABSTRACT FROM AUTHOR]
- Published
- 2015
- Full Text
- View/download PDF
34. Superfluidity of a pure spin current in ultracold Bose gases.
- Author
-
Qizhong Zhu, Qing-feng Sun, and Biao Wu
- Subjects
- *
SUPERFLUIDITY , *SPINTRONICS , *BOSE-Einstein gas , *ZEEMAN effect , *SPIN-orbit interactions , *GROUND state (Quantum mechanics) - Abstract
We study the superfluidity of a pure spin current, which is a spin current without a mass current. We examine two types of pure spin currents, planar and circular, in a spin-1 Bose gas. For the planar current, it is usually unstable but can be stabilized by the quadratic Zeeman effect. The circular current can be generated with spin-orbit coupling. When the spin-orbit coupling strength is weak, we find that the circular pure spin current is the ground state of the system and thus a superflow. We discuss the experimental schemes to realize and detect a pure spin current. [ABSTRACT FROM AUTHOR]
- Published
- 2015
- Full Text
- View/download PDF
35. Static and Clocked Spintronic Circuit Design and Simulation With Performance Analysis Relative to CMOS.
- Author
-
Calayir, Vehbi, Nikonov, Dmitri E., Manipatruni, Sasikanth, and Young, Ian A.
- Subjects
- *
LOGIC circuit design , *CMOS integrated circuits , *SPINTRONICS , *FERROMAGNETIC materials , *ELECTRON spin , *SPIN transfer torque - Abstract
Spin-based devices, in which information is carried via electron spin rather than electron charge, are potential candidates to complement CMOS technology due to the promise of non-volatility and compact implementation of logic gates. One class of such devices is all-spin logic (ASL) which is based on switching ferromagnets by spin transfer torque and conduction of spin-polarized current. Using previously developed physics-based circuit models for ASL, we develop a complete logic family for static ASL comprising of majority logic gates. We compare its performance metrics by means of circuit simulations using our Verilog-A compact models. We also show the novel implementations of sequencing elements (e.g., latch and D flip-flop) to enable clocked ASL. We also refine the models for ferromagnets to include spin relaxation inside ferromagnetic metals (FMs). [ABSTRACT FROM AUTHOR]
- Published
- 2014
- Full Text
- View/download PDF
36. Dilute ferromagnetic semiconductors: Physics and spintronic structures.
- Author
-
Dietl, Tomasz and Ohno, Hideo
- Subjects
- *
QUANTUM mechanics , *SEMICONDUCTORS , *MAGNETIZATION , *SPINTRONICS , *MAGNETIC impurities - Abstract
This review compiles results of experimental and theoretical studies on thin films and quantum structures of semiconductors with randomly distributed Mn ions, which exhibit spintronic functionalities associated with collective ferromagnetic spin ordering. Properties of p-type Mn-containing III-Vas well as II-VI, IV-VI, V2-VI3, I-II-V, and elemental group IV semiconductors are described, paying particular attention to the most thoroughly investigated system (Ga,Mn)As that supports the hole-mediated ferromagnetic order up to 190 K for the net concentration of Mn spins below 10%. Multilayer structures showing efficient spin injection and spin-related magneto transport properties as well as enabling magnetization manipulation by strain, light, electric fields, and spin currents are presented together with their impact on metal spintronics. The challenging interplay between magnetic and electronic properties in topologically trivial and nontrivial systems is described, emphasizing the entangled roles of disorder and correlation at the carrier localization boundary. Finally, the case of dilute magnetic insulators is considered, such as (Ga,Mn) N, where low-temperature spin ordering is driven by short-ranged superexchange that is ferromagnetic for certain charge states of magnetic impurities. [ABSTRACT FROM AUTHOR]
- Published
- 2014
- Full Text
- View/download PDF
37. Spin-polarized electrons producéd by strong-field ionization.
- Author
-
Barth, Ingo and Smirnova, Olga
- Subjects
- *
SPIN polarization , *SPINTRONICS , *FIELD ionization , *NOBLE gases , *LASER pulses , *ATOMS - Abstract
We show that ionization of noble gas atoms by a strong infrared circularly polarized laser field under standard experimental conditions can yield electrons with up to 100% spin polarization in energy-resolved measurements. Spin polarization arises due to the interplay of the electron-core entanglement and the sensitivity of ionization in circularly polarized fields to the sense of electron rotation in the initial state. [ABSTRACT FROM AUTHOR]
- Published
- 2013
- Full Text
- View/download PDF
38. Silicon quantum electronics.
- Author
-
Zwanenburg, Floris A., Dzurak, Andrew S., Morello, Andrea, Simmons, Michelle Y., Hollenberg, Lloyd C. L., Klimeck, Gerhard, Rogge, Sven, Coppersmith, Susan N., and Eriksson, Mark A.
- Subjects
- *
DOPING agents (Chemistry) , *QUANTUM dots , *QUANTUM chemistry , *SILICON research , *SINGLE electron devices , *SPINTRONICS - Abstract
This review describes recent groundbreaking results in Si, Si/SiGe, and dopant-based quantum dots, and it highlights the remarkable advances in Si-based quantum physics that have occurred in the past few years. This progress has been possible thanks to materials development of Si quantum devices, and the physical understanding of quantum effects in silicon. Recent critical steps include the isolation of single electrons, the observation of spin blockade, and single-shot readout of individual electron spins in both dopants and gated quantum dots in Si. Each of these results has come with physics that was not anticipated from previous work in other material systems. These advances underline the significant progress toward the realization of spin quantum bits in a material with a long spin coherence time, crucial for quantum computation and spintronics. [ABSTRACT FROM AUTHOR]
- Published
- 2013
- Full Text
- View/download PDF
39. Magnetic Adder Based on Racetrack Memory.
- Author
-
Trinh, Hong-Phuc, Zhao, Weisheng, Klein, Jacques-Olivier, Zhang, Yue, Ravelsona, Dafine, and Chappert, Claude
- Subjects
- *
COMPLEMENTARY metal oxide semiconductors , *ADDERS (Digital electronics) , *SPINTRONICS , *MAGNETIC tunnelling , *DOMAIN walls (Ferromagnetism) , *SHIFT registers , *PERPENDICULAR magnetic anisotropy - Abstract
The miniaturization of integrated circuits based on complementary metal oxide semiconductor (CMOS) technology meets a significant slowdown in this decade due to several technological and scientific difficulties. Spintronic devices such as magnetic tunnel junction (MTJ) nanopillar become one of the most promising candidates for the next generation of memory and logic chips thanks to their non-volatility, infinite endurance, and high density. A magnetic processor based on spintronic devices is then expected to overcome the issue of increasing standby power due to leakage currents and high dynamic power dedicated to data moving. For the purpose of fabricating such a non-volatile magnetic processor, a new design of multi-bit magnetic adder (MA)—the basic element of arithmetic/logic unit for any processor—whose input and output data are stored in perpendicular magnetic anisotropy (PMA) domain wall (DW) racetrack memory (RM)—is presented in this paper. The proposed multi-bit MA circuit promises nearly zero standby power, instant ON/OFF capability, and smaller die area. By using an accurate racetrack memory spice model, we validated this design and simulated its performance such as speed, power and area, etc. [ABSTRACT FROM PUBLISHER]
- Published
- 2013
- Full Text
- View/download PDF
40. Simulation of the spin polarization and the charge transport in Zener tunnel junctions based on ferromagnetic GaAs and ZnO
- Author
-
Comesaña, E., Aldegunde, M., and Garcia-Loureiro, A.J.
- Subjects
- *
POLARIZATION (Electricity) , *NUCLEAR spin , *CHARGE transfer , *SIMULATION methods & models , *TUNNEL junctions (Materials science) , *FERROMAGNETIC materials , *GALLIUM arsenide , *ZINC oxide - Abstract
Abstract: Simulations of the tunneling current as a function of voltage for a Zener diode where both sides are ferromagnetic have been performed. The current is evaluated as a function of the voltage and of the magnetization on each side of the diode. Calculations are made using an in-house developed simulator which solves the Poisson, electron and hole continuity equations self-consistently. The drift-diffusion model is used to calculate the charge carrier distribution. The current expressions were modified to consider degenerate semiconductors. Our simulator includes a non-local tunneling transport model which was modified to account for the spin polarization of the carriers. The tunneling magnetoresistance is obtained from the I–V characteristics for parallel and antiparallel configurations of the magnetization vectors in each side of the device. Two different devices were analyzed, one that corresponds to Mn-doped GaAs in which the ferromagnetism is stronger on the p side of the diode, and the other that corresponds to ZnO where there are likely to be many more carriers on the n side of the diode. We found good agreement between the results of our simulations and the theoretical predictions of the tunneling magnetoresistance, especially at room temperature. We also found that larger bandgap materials show larger tunneling current but lower tunnel magnetoresistance. [Copyright &y& Elsevier]
- Published
- 2013
- Full Text
- View/download PDF
41. Resonant adiabatic passage with three qubits.
- Author
-
Sangchul Oh, Yun-Pil Shim, Jianjia Fei, Friesen, Mark, and Xuedong Hu
- Subjects
- *
RESONANT tunneling , *QUBITS , *MAGNETIC resonance , *SPINTRONICS , *PHYSICS - Abstract
We investigate the nonadiabatic implementation of an adiabatic quantum teleportation protocol, finding that perfect fidelity can be. achieved through resonance. We clarify the physical mechanisms of teleportation, for three qubits, by mapping their dynamics onto two parallel and mutually coherent adiabatic passage channels. By transforming into the adiabatic frame, we explain the resonance by analogy with the magnetic resonance of a spin-1/2 particle. Our results establish a fast and robust method for transferring quantum states and suggest an alternative route toward high-precision quantum gates. [ABSTRACT FROM AUTHOR]
- Published
- 2013
- Full Text
- View/download PDF
42. Tuning non-Markovianity by spin-dynamics control.
- Author
-
Lorenzo, Salvatore, Plastina, Francesco, and Paternostro, Mauro
- Subjects
- *
MARKOV processes , *SPINTRONICS , *COHERENCE (Physics) , *THERMODYNAMICS , *PHYSICS - Abstract
We study the interplay between forgetful and memory-keeping evolution enforced on a two-level system by a multi-spin environment whose elements are coupled to local bosonic baths. Contrarily to the expectation that any non-Markovian effect would be buried by the forgetful mechanism induced by the spin-bath coupling, one can actually induce a full Markovian-to-non-Markovian transition of the two-level system's dynamics, controllable by parameters such as the mismatch between the energy of the two-level system and of the spin environment. For a symmetric coupling, the amount of non-Markovianity surprisingly grows with the number of decoherence channels. [ABSTRACT FROM AUTHOR]
- Published
- 2013
- Full Text
- View/download PDF
43. Dissipative spin chains: Implementation with cold atoms and steady-state properties.
- Author
-
Schwager, Heike, Cirac, J. Ignacio, and Giedke, Géza
- Subjects
- *
ENERGY dissipation , *SPINTRONICS , *HAMILTONIAN systems , *QUANTUM theory , *PHYSICS - Abstract
We propose a quantum optical implementation of a class of dissipative spin systems, including the XXZ and Ising model, with ultracold atoms in optical lattices. By employing the motional degree of freedom of the atoms and detuned Raman transitions, we show how to obtain engineerable dissipation and a tunable transversal magnetic field, enabling the study of the dynamics and steady-states of dissipative spin models. As an example of effects made accessible this way, we consider small spin chains and weak dissipation and show by numerical simulation that steady-state expectation values display pronounced peaks at certain critical system parameters. We show that this effect is related to degeneracies in the Hamiltonian and derive a sufficient condition for its occurrence. [ABSTRACT FROM AUTHOR]
- Published
- 2013
- Full Text
- View/download PDF
44. Quantum logic between remote quantum registers.
- Author
-
Yao, N. Y., Gong, Z.-X., Laumann, C. R., Bennett, S. D., Duan, L.-M., Lukin, M. D., Jiang, L., and Gorshkov, A. V.
- Subjects
- *
QUANTUM logic , *SPINTRONICS , *BOSONS , *QUBITS , *PHYSICS - Abstract
We consider two approaches to dark-spin-mediated quantum computing in hybrid solid-state spin architectures. First, we review the notion of eigenmode-mediated unpolarized spin-chain state transfer and extend the analysis to various experimentally relevant imperfections: quenched disorder, dynamical decoherence, and uncompensated long-range coupling. In finite-length chains, the interplay between disorder-induced localization and decoherence yields a natural optimal channel fidelity, which we calculate. Long-range dipolar couplings induce a finite intrinsic lifetime for the mediating eigenmode; extensive numerical simulations of dipolar chains of lengths up to L = 12 show remarkably high fidelity despite these decay processes. We further briefly consider the extension of the protocol to bosonic systems of coupled oscillators. Second, we introduce a quantum mirror based architecture for universal quantum computing that exploits all of the dark spins in the system as potential qubits. While this dramatically increases the number of qubits available, the composite operations required to manipulate dark-spin qubits significantly raise the error threshold for robust operation. Finally, we demonstrate that eigenmode- mediated state transfer can enable robust long-range logic between spatially separated nitrogen-vacancy registers in diamond; disorder-averaged numerics confirm that high-fidelity gates are achievable even in the presence of moderate disorder. [ABSTRACT FROM AUTHOR]
- Published
- 2013
- Full Text
- View/download PDF
45. Long-distance entanglement generation by local rotational protocols in spin chains.
- Author
-
Rafiee, Morteza and Mokhtari, Hossein
- Subjects
- *
QUANTUM entanglement , *OPTICAL lattices , *SPINTRONICS , *PHYSICS , *THERMODYNAMICS - Abstract
We exploit the inherent entanglement of the ground state of a spin chain with dimerized XX and XXZ Hamiltonians to investigate the entanglement generation between the ends of the chain. The strategy introduced by S. Yang, A. Bayat, and S. Bose [Phys. Rev. A 84, 020302(R) (2011)] is followed to encode the information in the entangled ground state of the system by local rotation. The amount of achieved entanglement in this scheme is higher than the one achieved when attaching a pair of maximally entangled spins to the system. Also, our proposal can be implemented by using the optical lattices. [ABSTRACT FROM AUTHOR]
- Published
- 2013
- Full Text
- View/download PDF
46. Hidden-variable problem for a continuous family of spin-1 measurements.
- Author
-
Kurzyński, Paweł, Soeda, Akihito, Bzdęga, Bartłomiej, and Kaszlikowski, Dagomir
- Subjects
- *
SPINTRONICS , *MATHEMATICAL variables , *QUANTUM theory , *THERMODYNAMICS , *PHYSICS - Abstract
We study a continuous set of spin-I measurements and show that for a special family of measurements parametrized by a single variable θ the possibility of hidden-variable description depends on the rationality of θ/π. [ABSTRACT FROM AUTHOR]
- Published
- 2013
- Full Text
- View/download PDF
47. Quantum control of spin correlations in ultracold lattice gases.
- Author
-
Hauke, P., Sewell, R. J., Mitchell, M. W., and Lewenstein, M.
- Subjects
- *
LATTICE gas , *SPINTRONICS , *POLARIZATION spectroscopy , *QUANTUM theory , *HAMILTONIAN systems - Abstract
We describe a technique for the preparation of quantum spin correlations in a lattice gas of ultracold atoms using an atom-light interaction of the kind routinely employed in quantum spin polarization spectroscopy. Our method is based on entropic cooling via quantum nondemolition measurement and feedback, and allows the creation and detection of quantum spin correlations, as well as a certain degree of multipartite entanglement which we verify using a generalization of the entanglement witness described previously M. Cramer et al., Phys. Rev. Lett. 106, 020401 (2011). We illustrate the procedure with examples drawn from the bilinear-biquadratic Hamiltonian, which can be modeled by a one-dimensional chain of spin-1 atoms. [ABSTRACT FROM AUTHOR]
- Published
- 2013
- Full Text
- View/download PDF
48. Stroh formalism based boundary integral equations for 2D magnetoelectroelasticity
- Author
-
Pasternak, Iaroslav and Sulym, Heorhiy
- Subjects
- *
BOUNDARY element methods , *SPINTRONICS , *ANISOTROPY , *HOLOMORPHIC functions , *INTEGRAL equations , *ELECTROMAGNETIC induction - Abstract
Abstract: This paper presents a novel approach for obtaining boundary integral equations of 2D anisotropic magnetoelectroelasticity. This approach is based on the holomorphy theorems and the Stroh formalism and allows developing of the integral equations for the aperiodic, singly and doubly periodic problems of magnetoelectroelasticity. Obtained equations contain the unknown discontinuities of displacement, electric and magnetic potentials and also traction, electric displacement and magnetic induction that allow adopting the existing boundary element procedures for their solution. Analytical solutions for systems of collinear permeable or impermeable cracks are obtained. Numerical boundary element solutions are obtained for the singly and doubly periodic sets of permeable and impermeable cracks in the magnetoelectroelastic medium and a half-plane. Comparison with analytical solutions and other available results validate the present formulations and numerical computation. [Copyright &y& Elsevier]
- Published
- 2013
- Full Text
- View/download PDF
49. A Feedback Spin-Valve Memristive System.
- Author
-
Cai, Weiran, Schmidt, Torsten, Jorges, Udo, and Ellinger, Frank
- Subjects
- *
SPIN valves , *MAGNETIC circuits , *SPINTRONICS , *HALL effect , *NONLINEAR systems , *MAGNETORESISTANCE - Abstract
We propose theoretically a generalized memristive system based on controlled spin polarizations in giant magnetoresistive material using a feedback loop with classical Hall Effect. The dynamics can exhibit a memristive pinched hysteretic loop while it possesses a self-crossing knot not located at the origin. Additionally, a single-looped orbit can also be observed in the system. We provide a sufficient condition for the stability based on an estimation of the Floquet exponent. The analysis shows that the non-origin-crossing dynamics is generally permitted in a class of passive memory systems that are not subject to Ohm's Law. We further develope the prevailing homogeneous definition to a broadened concept of generalized heterogeneous memristive systems, permitting the self-crossing knot not located at the origin, and ultimately to the concept of compound memory electronic systems. [ABSTRACT FROM AUTHOR]
- Published
- 2012
- Full Text
- View/download PDF
50. Optimizing inhomogeneous spin ensembles for quantum memory.
- Author
-
Bensky, Guy, Petrosyan, David, Majer, Johannes, Schmiedmayer, Jörg, and Kurizki, Gershon
- Subjects
- *
QUANTUM theory , *MICROWAVES , *OPTICS , *PHYSICS , *SPINTRONICS - Abstract
We propose a method to maximize the fidelity of quantum memory implemented by a spectrally inhomogeneous spin ensemble. The method is based on preselecting the optimal spectral portion of the ensemble by judiciously designed pulses. This leads to significant improvement of the transfer and storage of quantum information encoded in the microwave or optical field. [ABSTRACT FROM AUTHOR]
- Published
- 2012
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.