1,569 results on '"LOGIC circuits"'
Search Results
2. JJ-Soma: Towards a Spiking Neuromorphic Processor Architecture
- Author
-
Karamuftuoğlu, M.A., Bozbey, A., Razmkhah, S., Karamuftuoğlu, M.A., Bozbey, A., and Razmkhah, S.
- Abstract
Neuromorphic computing and artificial neurons have been shown to improve the solution for some of the complex problems for conventional computers. We present a spiking soma (JJ-Soma) circuit that consists of a double-junction SQUID interfered with a resistor (threshold loop), a decaying superconductor loop cut by a resistor, which is coupled to the SQUID like structure. The proposed soma has three main properties: (i) ultra-high-speed operation with minimal power consumption, (ii) compatibility with standard foundry processes that allows fabrication with the available infrastructure, (iii)and compatibility with conventional SFQ logic gates which enables design and implementation of complicated networks. Each soma circuit covers 40 ;#x03BC;m ;#x00D7; 80 ;#x03BC;m area on-chip with different activation functions. The circuits are fabricated in a commercial foundry for superconductors and they have been implemented and demonstrated experimentally. IEEE
- Published
- 2023
3. JJ-Soma: Towards a Spiking Neuromorphic Processor Architecture
- Author
-
Bozbey, A., Karamuftuoğlu, M.A., Razmkhah, S., Bozbey, A., Karamuftuoğlu, M.A., and Razmkhah, S.
- Abstract
Neuromorphic computing and artificial neurons have been shown to improve the solution for some of the complex problems for conventional computers. We present a spiking soma (JJ-Soma) circuit that consists of a double-junction SQUID interfered with a resistor (threshold loop), a decaying superconductor loop cut by a resistor, which is coupled to the SQUID like structure. The proposed soma has three main properties: (i) ultra-high-speed operation with minimal power consumption, (ii) compatibility with standard foundry processes that allows fabrication with the available infrastructure, (iii)and compatibility with conventional SFQ logic gates which enables design and implementation of complicated networks. Each soma circuit covers 40 ;#x03BC;m ;#x00D7; 80 ;#x03BC;m area on-chip with different activation functions. The circuits are fabricated in a commercial foundry for superconductors and they have been implemented and demonstrated experimentally. IEEE
- Published
- 2023
4. Decomposition and simplification with EXOR-based representations
- Author
-
Metzgen, Paul
- Subjects
621.39 ,Logic circuits - Published
- 1999
5. Double barrier resonant tunnelling diodes and applications
- Author
-
Sellai, Azzouz
- Subjects
621.3192 ,Logic circuits - Published
- 1991
6. Detecting bridging faults in CMOS circuits
- Author
-
Evans, Richard J.
- Subjects
621.3192 ,Logic circuits - Published
- 1991
7. Design and Demonstration of a Superconducting Field-Programmable Gate Array Using Adiabatic Quantum-Flux-Parametron Logic and Memory
- Author
-
Daichi, Takahashi, Naoki, Takeuchi, Yuki, Yamanashi, Nobuyuki, Yoshikawa, Daichi, Takahashi, Naoki, Takeuchi, Yuki, Yamanashi, and Nobuyuki, Yoshikawa
- Abstract
Adiabatic quantum-flux-parametron (AQFP) logic is a promising technology for future energy-efficient, high- performance information processing systems because it has significantly low power consumption due to the adiabatic switching of Josephson junctions. We are developing a high-performance field-programmable gate array (FPGA) using superconducting AQFP circuits to reduce its energy consumption. The all-AQFP FPGA consists of logic blocks, switch blocks, connection blocks, and memory using AQFP circuits. In particular, the memory is composed of AQFP buffer chains, enabling high-density and low-power memory. The switch blocks can perform data routing as well as several logic functions, including majority, and, and or functions, which increases the design flexibility of the all-AQFP FPGA. We fabricated a one-unit all-AQFP FPGA and demonstrated its reconfigurable operation at low speed. It was found that much lower power consumption can be achieved in the new FPGA than in the other superconducting FPGAs.
- Published
- 2022
8. Circuit topology and synthesis flow co-design for the development of computational ReRAM
- Author
-
Universitat Politècnica de Catalunya. Departament d'Enginyeria Electrònica, Fernandez Hernandez, Carlos, Vourkas, Ioannis, Rubio Sola, Jose Antonio, Universitat Politècnica de Catalunya. Departament d'Enginyeria Electrònica, Fernandez Hernandez, Carlos, Vourkas, Ioannis, and Rubio Sola, Jose Antonio
- Abstract
© 2022 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertising or promotional purposes,creating new collective works, for resale or redistribution to servers or lists, or reuse of any copyrighted component of this work in other works., Emerging memory technologies will play a decisive role in the quest for more energy-efficient computing systems. Computational ReRAM structures based on resistive switching devices (memristors) have been explored for in-memory computations using the resistance of ReRAM cells for storage and for logic I/O representation. Such approach presents three major challenges: the support for a memristor-oriented logic style, the ad-hoc design of memory array driving circuitry for memory and logic operations, and the development of dedicated synthesis tools to instruct the multi-level operations required for the execution of an arbitrary logic function in memory. This work contributes towards the development of an automated design flow for ReRAM-based computational memories, highlighting some important HW-SW co-design considerations. We briefly present a case study concerning a synthesis flow for a nonstateful logic style and the co-design of the underlying 1T1R crossbar array driving circuit. The prototype of the synthesis flow is based on the ABC tool and the Z3 solver. It executes fast owing to the level-by-level mapping of logic gates. Moreover, it delivers a mapping that minimizes the logic function latency through parallel logic operations, while also using the less possible ReRAM cells., Supported by Synopsys, Chile, by the Chilean grants FONDECYT Regular 1221747 and ANID-Basal FB0008, and by the Spanish MCIN/AEI/10.13039/501100011033 grant PID2019-103869RB-C33, Peer Reviewed, Postprint (author's final draft)
- Published
- 2022
9. Transition management for the smooth flight of a small autonomous helicopter
- Author
-
Universidad EAFIT. Escuela de Ciencias, Modelado Matemático, Agudelo-Toro, Andres Y., Velez, Carlos M., Universidad EAFIT. Escuela de Ciencias, Modelado Matemático, Agudelo-Toro, Andres Y., and Velez, Carlos M.
- Abstract
This work is centered in the definition of a transition management system for a small autonomous helicopter based on trajectory smoothing and a finite state machine (FSM). A smooth flight schedule decreases transients originated by direction changes and flight mode transitions (e.g., horizontal flight to hover mode). Although previous works have presented trajectory generation and FSM oriented controls, no previous studies have mixed these approaches in a single framework together with speed transitions. The proposed methods are validated in simulation with a realistic dynamic model of a small helicopter. © 2009 Springer Science+Business Media B.V.
- Published
- 2021
10. Proposal of an Adaptive Fault Tolerance Mechanism to Tolerate Intermittent Faults in RAM
- Author
-
Universitat Politècnica de València. Departamento de Informática de Sistemas y Computadores - Departament d'Informàtica de Sistemes i Computadors, Agencia Estatal de Investigación, Universitat Politècnica de València, Baraza Calvo, Juan Carlos, Gracia-Morán, Joaquín, Saiz-Adalid, Luis-J., Gil Tomás, Daniel Antonio, Gil, Pedro, Universitat Politècnica de València. Departamento de Informática de Sistemas y Computadores - Departament d'Informàtica de Sistemes i Computadors, Agencia Estatal de Investigación, Universitat Politècnica de València, Baraza Calvo, Juan Carlos, Gracia-Morán, Joaquín, Saiz-Adalid, Luis-J., Gil Tomás, Daniel Antonio, and Gil, Pedro
- Abstract
[EN] Due to transistor shrinking, intermittent faults are a major concern in current digital systems. This work presents an adaptive fault tolerance mechanism based on error correction codes (ECC), able to modify its behavior when the error conditions change without increasing the redundancy. As a case example, we have designed a mechanism that can detect intermittent faults and swap from an initial generic ECC to a specific ECC capable of tolerating one intermittent fault. We have inserted the mechanism in the memory system of a 32-bit RISC processor and validated it by using VHDL simulation-based fault injection. We have used two (39, 32) codes: a single error correction-double error detection (SEC-DED) and a code developed by our research group, called EPB3932, capable of correcting single errors and double and triple adjacent errors that include a bit previously tagged as error-prone. The results of injecting transient, intermittent, and combinations of intermittent and transient faults show that the proposed mechanism works properly. As an example, the percentage of failures and latent errors is 0% when injecting a triple adjacent fault after an intermittent stuck-at fault. We have synthesized the adaptive fault tolerance mechanism proposed in two types of FPGAs: non-reconfigurable and partially reconfigurable. In both cases, the overhead introduced is affordable in terms of hardware, time and power consumption.
- Published
- 2020
11. Low-capture-power test generation for scan-based at-speed testing
- Author
-
Dept. of CSE, Kyushu Institute of Technology, Iizuka 820-8502, Japan, SynTest Technologies, Inc., 505 S. Pastoria Ave., Suite 101, Sunnyvale, CA 94086, USA, Dept. of ECE, 1415 Engineering Drive, University of Wisconsin - Madison, Madison, WI 53706, USA, Faculty of Informatics, Osaka Gakuin University, Suita 564-8511, Japan, Wen, Xiaoqing, Yamashita, Yoshiyuki, Morishima, Shohei, Kajihara, Seiji, Wang, Laung-Terng, Saluja, Kewal K., Kinoshita, Kozo, Dept. of CSE, Kyushu Institute of Technology, Iizuka 820-8502, Japan, SynTest Technologies, Inc., 505 S. Pastoria Ave., Suite 101, Sunnyvale, CA 94086, USA, Dept. of ECE, 1415 Engineering Drive, University of Wisconsin - Madison, Madison, WI 53706, USA, Faculty of Informatics, Osaka Gakuin University, Suita 564-8511, Japan, Wen, Xiaoqing, Yamashita, Yoshiyuki, Morishima, Shohei, Kajihara, Seiji, Wang, Laung-Terng, Saluja, Kewal K., and Kinoshita, Kozo
- Abstract
type:Journal Article, Scan-based at-speed testing is a key technology to guarantee timing-related test quality in the deep submicron era. However, its applicability is being severely challenged since significant yield loss may occur from circuit malfunction due to excessive IR drop caused by high power dissipation when a test response is captured. This paper addresses this critical problem with a novel low-capture-power X-filling method of assigning 0's and 1's to unspecified (X) bits in a test cube obtained during ATPG. This method reduces the circuit switching activity in capture mode and can be easily incorporated into any test generation flow to achieve capture power reduction without any area, timing, or fault coverage impact. Test vectors generated with this practical method greatly improve the applicability of scan-based at-speed testing by reducing the risk of test yield loss, IEEE International Conference on Test, 2005, 8 November 2005, Austin, TX, USA, source:DOI: 10.1109/TEST.2005.1584068
- Published
- 2020
12. At-Speed Logic BIST for IP Cores
- Author
-
Samsung Electronics, Co., SynTest Technologies, Inc., Kyushu Institute of Technology, SynTest Technologies, Inc., Taiwan, SynTest Korea, Ltd., Cheon, B., Lee, E., Wang, L.-T., Wen, X., Hsu, P., Cho, J., Park, J., Chao, H., Wu, S., Samsung Electronics, Co., SynTest Technologies, Inc., Kyushu Institute of Technology, SynTest Technologies, Inc., Taiwan, SynTest Korea, Ltd., Cheon, B., Lee, E., Wang, L.-T., Wen, X., Hsu, P., Cho, J., Park, J., Chao, H., and Wu, S.
- Abstract
type:Journal Article, This paper describes a flexible logic BIST scheme that features high fault coverage achieved by fault-simulation guided test point insertion, real at-speed test capability for multi-clock designs without clock frequency manipulation, and easy physical implementation due to the use of a low-speed SE signal. Application results of this scheme to two widely used IP cores are also reported., Design, Automation and Test in Europe (DATE05), 7-11 March 2005, Munich, Germany, source:DOI: 10.1109/DATE.2005.70
- Published
- 2020
13. Support-reducing decomposition for FPGA mapping
- Author
-
Universitat Politècnica de Catalunya. Departament de Ciències de la Computació, Universitat Politècnica de Catalunya. ALBCOM - Algorismia, Bioinformàtica, Complexitat i Mètodes Formals, Machado, Lucas, Cortadella, Jordi, Universitat Politècnica de Catalunya. Departament de Ciències de la Computació, Universitat Politècnica de Catalunya. ALBCOM - Algorismia, Bioinformàtica, Complexitat i Mètodes Formals, Machado, Lucas, and Cortadella, Jordi
- Abstract
Decomposition is a technology-independent process, in which a large complex function is broken into smaller, less complex functions. The costs of two-level or factored-form representations (cubes and literals) are used in most decomposition methods, as they have a high correlation with the area of cell-based designs. However, this correlation is weaker for field-programmable gate arrays (FPGAs) based on look-up tables. Furthermore, local optimizations have limited power due to the structural bias of the circuit descriptions. This paper tries to reduce the structural biasing by remapping the LUT network and decomposing the derived functions using the support as cost function. The proposed method improves the FPGA mapping results of a commercial tool for the 20 largest MCNC benchmarks, with gains of 28% in delay plus 18% in area when targeting delay, and a reduction of 28% in area plus 14% in delay with area as cost function. Results with 23% less area and 6% less delay are obtained after physical synthesis (post place-and-route). Moreover, 12 of the best known results for delay (and 3 for area) of the EPFL benchmarks are improved., Peer Reviewed, Postprint (author's final draft)
- Published
- 2020
14. Efficiency analysis of modern vector architectures: vector ALU sizes, core counts and clock frequencies
- Author
-
Universitat Politècnica de Catalunya. Doctorat en Arquitectura de Computadors, Universitat Politècnica de Catalunya. Departament d'Arquitectura de Computadors, Barcelona Supercomputing Center, Universitat Politècnica de Catalunya. CAP - Grup de Computació d'Altes Prestacions, Barredo Ferreira, Adrián, Cebrián González, Juan Manuel, Valero Cortés, Mateo, Casas, Marc, Moretó Planas, Miquel, Universitat Politècnica de Catalunya. Doctorat en Arquitectura de Computadors, Universitat Politècnica de Catalunya. Departament d'Arquitectura de Computadors, Barcelona Supercomputing Center, Universitat Politècnica de Catalunya. CAP - Grup de Computació d'Altes Prestacions, Barredo Ferreira, Adrián, Cebrián González, Juan Manuel, Valero Cortés, Mateo, Casas, Marc, and Moretó Planas, Miquel
- Abstract
Moore’s Law predicted that the number of transistors on a chip would double approximately every 2 years. However, this trend is arriving at an impasse. Optimizing the usage of the available transistors within the thermal dissipation capabilities of the packaging is a pending topic. Multi-core processors exploit coarse-grain parallelism to improve energy efficiency. Vectorization allows developers to exploit data-level parallelism, operating on several elements per instruction and thus, reducing the pressure to the fetch and decode pipeline stages. In this paper, we perform an analysis of different resource optimization strategies for vector architectures. In particular, we expose the need to break down voltage and frequency domains for LLC, ALUs and vector ALUs if we aim to optimize the energy efficiency and performance of our system. We also show the need for a dynamic reconfiguration strategy that adapts vector register length at runtime., Funding was provided by RoMoL ERC Advanced Grant (Grant No. GA 321253), Juan de la Cierva (Grant No. JCI-2012-15047), Marie Curie (Grant No. 2013 BP_B 00243)., Peer Reviewed, Postprint (author's final draft)
- Published
- 2020
15. Fault Modeling of Graphene Nanoribbon FET Logic Circuits
- Author
-
Universitat Politècnica de València. Departamento de Informática de Sistemas y Computadores - Departament d'Informàtica de Sistemes i Computadors, Agencia Estatal de Investigación, Universitat Politècnica de València, Gil Tomás, Daniel Antonio, Gracia-Morán, Joaquín, Saiz-Adalid, Luis-J., Gil, Pedro, Universitat Politècnica de València. Departamento de Informática de Sistemas y Computadores - Departament d'Informàtica de Sistemes i Computadors, Agencia Estatal de Investigación, Universitat Politècnica de València, Gil Tomás, Daniel Antonio, Gracia-Morán, Joaquín, Saiz-Adalid, Luis-J., and Gil, Pedro
- Abstract
[EN] Due to the increasing defect rates in highly scaled complementary metal-oxide-semiconductor (CMOS) devices, and the emergence of alternative nanotechnology devices, reliability challenges are of growing importance. Understanding and controlling the fault mechanisms associated with new materials and structures for both transistors and interconnection is a key issue in novel nanodevices. The graphene nanoribbon field-effect transistor (GNR FET) has revealed itself as a promising technology to design emerging research logic circuits, because of its outstanding potential speed and power properties. This work presents a study of fault causes, mechanisms, and models at the device level, as well as their impact on logic circuits based on GNR FETs. From a literature review of fault causes and mechanisms, fault propagation was analyzed, and fault models were derived for device and logic circuit levels. This study may be helpful for the prevention of faults in the design process of graphene nanodevices. In addition, it can help in the design and evaluation of defect- and fault-tolerant nanoarchitectures based on graphene circuits. Results are compared with other emerging devices, such as carbon nanotube (CNT) FET and nanowire (NW) FET.
- Published
- 2019
16. The Optimisation Of Large Scale Logical Circuits
- Abstract
In the phase of designing the logical circuits, it is essential to minimise the number of elements because it leads to the more reliable, more secure, and cheaper solution. For the logical functions with less than 4 variables, the Karnaugh maps are suitable. However, in practice, we encounter usually a much more complex function, in those cases, we could apply Boolean algebra laws directly or use the Quine-McCluskey method, which is based on their systematic use. Unfortunately, this method does not usually provide a minimal form of logical function for really large scale logical functions, and in a result may be redundant expressions. For that reason, we show that we could apply an additional phase which leads to the set covering problem which needs to cover all the inputs by the obtained outputs. Since this problem is N P-hard, it is necessary to use heuristic methods, such as simulated annealing.
- Published
- 2019
17. The Optimisation Of Large Scale Logical Circuits
- Abstract
In the phase of designing the logical circuits, it is essential to minimise the number of elements because it leads to the more reliable, more secure, and cheaper solution. For the logical functions with less than 4 variables, the Karnaugh maps are suitable. However, in practice, we encounter usually a much more complex function, in those cases, we could apply Boolean algebra laws directly or use the Quine-McCluskey method, which is based on their systematic use. Unfortunately, this method does not usually provide a minimal form of logical function for really large scale logical functions, and in a result may be redundant expressions. For that reason, we show that we could apply an additional phase which leads to the set covering problem which needs to cover all the inputs by the obtained outputs. Since this problem is N P-hard, it is necessary to use heuristic methods, such as simulated annealing.
- Published
- 2019
18. The Optimisation Of Large Scale Logical Circuits
- Abstract
In the phase of designing the logical circuits, it is essential to minimise the number of elements because it leads to the more reliable, more secure, and cheaper solution. For the logical functions with less than 4 variables, the Karnaugh maps are suitable. However, in practice, we encounter usually a much more complex function, in those cases, we could apply Boolean algebra laws directly or use the Quine-McCluskey method, which is based on their systematic use. Unfortunately, this method does not usually provide a minimal form of logical function for really large scale logical functions, and in a result may be redundant expressions. For that reason, we show that we could apply an additional phase which leads to the set covering problem which needs to cover all the inputs by the obtained outputs. Since this problem is N P-hard, it is necessary to use heuristic methods, such as simulated annealing.
- Published
- 2019
19. Wave computing with passive memristive networks
- Author
-
Universitat Politècnica de Catalunya. Departament d'Enginyeria Electrònica, Universitat Politècnica de Catalunya. HIPICS - Grup de Circuits i Sistemes Integrats d'Altes Prestacions, Fyrigos, Iosif, Ntinas, Vasileios, Sirakoulis, Georgios, Adamatzky, Andrew, Erokhin, Victor, Rubio Sola, Jose Antonio, Universitat Politècnica de Catalunya. Departament d'Enginyeria Electrònica, Universitat Politècnica de Catalunya. HIPICS - Grup de Circuits i Sistemes Integrats d'Altes Prestacions, Fyrigos, Iosif, Ntinas, Vasileios, Sirakoulis, Georgios, Adamatzky, Andrew, Erokhin, Victor, and Rubio Sola, Jose Antonio
- Abstract
© 2019 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertising or promotional purposes,creating new collective works, for resale or redistribution to servers or lists, or reuse of any copyrighted component of this work in other works., Since CMOS technology approaches its physical limits, the spotlight of computing technologies and architectures shifts to unconventional computing approaches. In this area, novel computing systems, inspired by natural and mostly nonelectronic approaches, provide also new ways of performing a wide range of computations, from simple logic gates to solving computationally hard problems. Reaction-diffusion processes constitute an information processing method, occurs in nature and are capable of massive parallel and low-power computing, such as chemical computing through Belousov-Zhabotinsky reaction. In this paper, inspired by these chemical processes and based on the wave-propagation information processing taking place in the reaction-diffusion media, the novel characteristics of the nanoelectronic element memristor are utilized to design innovative circuits of electronic excitable medium to perform both classical (Boolean) calculations and to model neuromorphic computations in the same Memristor-RLC (M-RLC) reconfigurable network., Peer Reviewed, Postprint (author's final draft)
- Published
- 2019
20. The Optimisation Of Large Scale Logical Circuits
- Author
-
Seda, Pavel and Seda, Pavel
- Abstract
In the phase of designing the logical circuits, it is essential to minimise the number of elements because it leads to the more reliable, more secure, and cheaper solution. For the logical functions with less than 4 variables, the Karnaugh maps are suitable. However, in practice, we encounter usually a much more complex function, in those cases, we could apply Boolean algebra laws directly or use the Quine-McCluskey method, which is based on their systematic use. Unfortunately, this method does not usually provide a minimal form of logical function for really large scale logical functions, and in a result may be redundant expressions. For that reason, we show that we could apply an additional phase which leads to the set covering problem which needs to cover all the inputs by the obtained outputs. Since this problem is N P-hard, it is necessary to use heuristic methods, such as simulated annealing.
- Published
- 2019
21. A new hardware logic circuit for evaluating multi-processor chip security
- Author
-
Lian, M., Wang, J., Jinzhi, Lu, Lian, M., Wang, J., and Jinzhi, Lu
- Abstract
NoC (Network-on-Chip) is widely considered and researched by academic communities as a new inter-core interconnection method that replaces the bus. Nowadays, the complexity of on-chip systems is increasing, requiring better communication performance and scalability. Therefore, the optimization of communication performance has become one of the research hotspots. While the NoC is rapidly developing, it is threatened by hardware Trojans inserted during the design or manufacturing processes. This leads to that the attackers can exploit NoC's vulnerability to attack the on-chip systems. To solve the problem, we design and implement a replay-type hardware Trojan inserted into the NoC, aiming to provide a benchmark test set to promote the defense strategies for NoC hardware security. The experiment proves that the power consumption of the designed Trojan accounts for less than one thousandth of the entire NoC power consumption and area. Besides, simulation experiments reveal that this replaytype hardware Trojan can reduce the network throughput., QC 20200617
- Published
- 2018
- Full Text
- View/download PDF
22. Synthesis of quantum circuits vs. synthesis of classical reversible circuits
- Author
-
Vos, Alexis de and Vos, Alexis de
- Published
- 2018
23. Fault tolerance in reversible logic
- Author
-
Rice, Jacqueline E., Latif, Shamria Sabatina, University of Lethbridge. Faculty of Arts and Science, Rice, Jacqueline E., Latif, Shamria Sabatina, and University of Lethbridge. Faculty of Arts and Science
- Abstract
In recent years reversible logic has offered a promising alternative to traditional logic circuits. Reversible logic introduces a mechanism which allows theoretically zero energy dissipation by eliminating the possibility of information loss. However, it is also desirable that all computation should ideally be done in a fault tolerant manner. To address this we propose techniques to achieve fault tolerance in reversible logic based on a passive hardware redundancy technique. We propose two new designs for a reversible majority voter circuit that can be used to implement fault masking. Comparisons to existing designs are presented in terms of cost metrics such as gate count, garbage outputs, constant inputs, and quantum cost. Comparative failure probability analysis of the proposed voter circuits is also provided. Simulation results of the voter circuit failure probabilities over different numbers of trials are also presented. Our approach can be used to determine the circuit failure probability by using the gate failure probabilities. The proposed methodology can provide useful information for future reversible gate fabrication and designing future fault tolerant reversible circuits.
- Published
- 2018
24. A hierarchical mathematical model for automatic pipelining and allocation using elastic systems
- Author
-
Universitat Politècnica de Catalunya. Departament de Ciències de la Computació, Universitat Politècnica de Catalunya. ALBCOM - Algorismia, Bioinformàtica, Complexitat i Mètodes Formals, Cortadella, Jordi, Petit Silvestre, Jordi, Universitat Politècnica de Catalunya. Departament de Ciències de la Computació, Universitat Politècnica de Catalunya. ALBCOM - Algorismia, Bioinformàtica, Complexitat i Mètodes Formals, Cortadella, Jordi, and Petit Silvestre, Jordi
- Abstract
The advent of FPGA-based accelerators has encouraged the use of high-level synthesis (HLS) for rapid prototyping and design space exploration. In this context, design optimization at behavioral level becomes a critical task for the delivery of high-quality solutions. Time elasticity opens a new avenue of optimizations that can be applied after HLS and before logic synthesis, proposing new sequential transformations that expand beyond classical retiming and enlarge the register-transfer level (RTL) exploration space. This paper proposes a mathematical model for RTL transformations that exploit elasticity to select the best implementation for each functional unit and add pipeline registers to increase performance. Two simple examples are used to validate the effectiveness and potential benefits of the model., Peer Reviewed, Postprint (author's final draft)
- Published
- 2018
25. State-based encoding of large asynchronous controllers
- Author
-
Universitat Politècnica de Catalunya. Departament de Ciències de la Computació, Universitat Politècnica de Catalunya. ALBCOM - Algorismia, Bioinformàtica, Complexitat i Mètodes Formals, Moreno, Albert, Cortadella, Jordi, Universitat Politècnica de Catalunya. Departament de Ciències de la Computació, Universitat Politècnica de Catalunya. ALBCOM - Algorismia, Bioinformàtica, Complexitat i Mètodes Formals, Moreno, Albert, and Cortadella, Jordi
- Abstract
State encoding is one of the fundamental problems in the synthesis of asynchronous controllers. The requirement for a correct hazard-free implementation imposes severe constraints on the way encoding signals can be inserted in the specification of a controller. Even though some specification formalisms, such as Burst-mode machines or Signal Transition Graphs, enable to specify behaviors at the event level, the state encoding methods that provide the best good-quality solutions work at the state level. This imposes a severe limitation on the size of the controllers that can be handled by these methods. This paper proposes a method to solve the encoding problem for large asynchronous controllers using statebased methods. It is based on an iterative process of projection and re-composition that reduces the size specification by hiding signals, partially solves the encoding problem at the state level and re-composes the original specification using a synchronous product. The process iterates until all encoding conflicts have been solved. The method is proved to preserve the behavior of the specification (branching bisimilarity) and shown to be capable of providing good-quality solutions for controllers of more than 100 signals and 106 states., Peer Reviewed, Postprint (published version)
- Published
- 2018
26. An experimental approach to Memristive Devices and its applications on Stateful Logic : Design and experimental evaluation of the IMPLY logic gate with Knowm memristors
- Author
-
Universitat Politècnica de Catalunya. Departament d'Enginyeria Electrònica, Rodríguez Montañés, Rosa, Galofre Ballbe, Aina Elisabeth, Universitat Politècnica de Catalunya. Departament d'Enginyeria Electrònica, Rodríguez Montañés, Rosa, and Galofre Ballbe, Aina Elisabeth
- Abstract
Recent discovery (2008) of the non-volatile binary resistances known as memristors has attracted new scientific research opportunities. These include novel approaches to present-day technology such as memory storage, computer architectures and hardware security. In this project, the physical feasibility of gates using stateful logic will be experimentally tested to understand surging problems in the design procedure. The gate being built will aim to perform material implication logic. These memristor-based logic circuits form the building blocks of crossbar array architectures, a computer architecture developed currently that would simultaneously perform processing operations and memory storage. Throughout this project, the commercially available memristors provided by Knowm will be characterized. The essential traits of the memristors will be tested for a DC voltage sweep experiment and a voltage pulse analysis will be performed using pulses with a width of 2 ms. The analysis will be explained presenting case-to-case behavior as well as statistical data from up to 200 experiments. Building upon this knowledge, the IMPLY gate was made and showed problems indicated by previous research studies, like state drift. This project serves also as an introduction to memristive technology.
- Published
- 2017
27. Implementation of a multiprocessor array for spiking neural network emulation on FPGA
- Author
-
Universitat Politècnica de Catalunya. Departament d'Enginyeria Electrònica, Madrenas Boadas, Jordi, Zapata Rodríguez, Mireya Patricia, Juan Moreno, Sergi, Universitat Politècnica de Catalunya. Departament d'Enginyeria Electrònica, Madrenas Boadas, Jordi, Zapata Rodríguez, Mireya Patricia, and Juan Moreno, Sergi
- Published
- 2017
28. Multi-agent planning under local LTL specifications and event-based synchronization
- Author
-
Tumova, Jana, Dimarogonas, Dimos V., Tumova, Jana, and Dimarogonas, Dimos V.
- Abstract
We study the problem of plan synthesis for multi-agent systems, to achieve complex, high-level, long-term goals that are assigned to each agent individually. As the agents might not be capable of satisfying their respective goals by themselves, requests for other agents' collaborations are a part of the task descriptions. We consider that each agent is modeled as a discrete state-transition system and its task specification takes a form of a linear temporal logic formula. A traditional automata-based approach to multi-agent plan synthesis from such specifications builds on centralized team planning and full team synchronization after each agents' discrete step, and thus suffers from extreme computational demands. We aim at reducing the computational complexity by decomposing the plan synthesis problem into finite horizon planning problems that are solved iteratively, upon the run of the agents. We introduce an event-based synchronization that allows our approach to efficiently adapt to different time durations of different agents' discrete steps. We discuss the correctness of the solution and find assumptions, under which the proposed iterative algorithm leads to provable eventual satisfaction of the desired specifications., Funding Details: KTH, European Research CouncilQC 20160524
- Published
- 2016
- Full Text
- View/download PDF
29. High Gamma Ray Tolerance for 4H-SiC Bipolar Circuits
- Author
-
Suvanam, Sethu Saveda, Kuroki, Shin-Ichiro, Lanni, Luigia, Hadayati, Raheleh, Ohshima, Takeshi, Makino, Takahiro, Hallén, Anders, Zetterling, Carl-Mikael, Suvanam, Sethu Saveda, Kuroki, Shin-Ichiro, Lanni, Luigia, Hadayati, Raheleh, Ohshima, Takeshi, Makino, Takahiro, Hallén, Anders, and Zetterling, Carl-Mikael
- Abstract
A high gamma radiation hardness of 4H-SiC circuits is performed. The OR NOR circuits are based on emitter coupled logic (ECL), using integrated bipolar NPN transistors. Gain degradation in individual bipolar junction transistors (BJT) is minimal up to a dose of 38 Mrad (SiO2), but for the dose of 332 Mrad (SiO2) a degradation of 52% is observed. The SiC BJTs show higher radiation hardness than existing Si-technology and high stability under temperature stress. It is proposed that the oxide charge-dominated recombination is the key base current recombination mechanism contributing to gain degradation. An improvement in the gain is seen after annealing at 400 °C for 1800 s due to the possible annealing of some of the oxide defects contributing to the oxide charge., Qc 20170118
- Published
- 2016
- Full Text
- View/download PDF
30. Nano-CMOS and Post-CMOS Electronics: Circuits and Design
- Author
-
Mohanty, Saraju, ed., Srivastava, Ashok, ed., Mohanty, Saraju, ed., and Srivastava, Ashok, ed.
- Abstract
The demand for ever smaller and portable electronic devices has driven metal oxide semiconductor-based (CMOS) technology to its physical limit with the smallest possible feature sizes. This presents various size-related problems such as high power leakage, low-reliability, and thermal effects, and is a limit on further miniaturization. To enable even smaller electronics, various nanodevices including carbon nanotube transistors, graphene transistors, tunnel transistors and memristors (collectively called post-CMOSdevices) are emerging that could replace the traditional and ubiquitous silicon transistor. This book explores these nanoelectronics at the circuit and systems levels including modelling and design approaches and issues. Topics covered include self-healing analog and radio frequency circuits; on-chip gate delay variability measurement in scaled technology node; nanoscale finFET devices for PVT aware SRAM; data stability and write ability enhancement techniques for finFET SRAM circuits; low-leakage techniques for nanoscale CMOS circuits; thermal effects in carbon nanotube VLSI interconnects; lumped electro-thermal modeling and analysis of carbon nanotube interconnects; high-level synthesis of digital integrated circuits in the nanoscale mobile electronics era; SPICEless RTL design optimization of nanoelectronic digital integrated circuits; green on-chip inductors for threedimensional integrated circuits; 3D network-on-chips; and DNA computing. This book is essential reading for researchers, research-focused industry designers/developers, and advanced students working on next-generation electronic devices and circuits.
- Published
- 2016
31. Improving performance guarantees in wormhole mesh NoC designs
- Author
-
Universitat Politècnica de Catalunya. Departament d'Arquitectura de Computadors, Barcelona Supercomputing Center, Universitat Politècnica de Catalunya. CAP - Grup de Computació d'Altes Prestacions, Panic, Milos, Hernández, Carles, Abella Ferrer, Jaume, Quiñones, Eduardo, Cazorla Almeida, Francisco Javier, Universitat Politècnica de Catalunya. Departament d'Arquitectura de Computadors, Barcelona Supercomputing Center, Universitat Politècnica de Catalunya. CAP - Grup de Computació d'Altes Prestacions, Panic, Milos, Hernández, Carles, Abella Ferrer, Jaume, Quiñones, Eduardo, and Cazorla Almeida, Francisco Javier
- Abstract
Wormhole-based mesh Networks-on-Chip (wNoC) are deployed in high-performance many-core processors due to their physical scalability and low-cost. Delivering tight and time composable Worst-Case Execution Time (WCET) estimates for applications as needed in safety-critical real-time embedded systems is challenged by wNoCs due to their distributed nature. We propose a bandwidth control mechanism for wNoCs that enables the computation of tight time-composable WCET estimates with low average performance degradation and high scalability. Our evaluation with the EEMBC automotive suite and an industrial real-time parallel avionics application confirms so., The research leading to these results is funded by the European Union Seventh Framework Programme under grant agreement no. 287519 (parMERASA) and by the Ministry of Science and Technology of Spain under contract TIN2012-34557. Milos Panic is funded by the Spanish Ministry of Education under the FPU grant FPU12/05966. Carles Hernández is jointly funded by the Spanish Ministry of Economy and Competitiveness and FEDER funds through grant TIN2014-60404-JIN. Jaume Abella is partially supported by the Ministry of Economy and Competitiveness under Ramon y Cajal postdoctoral fellowship number RYC-2013-14717., Peer Reviewed, Postprint (author's final draft)
- Published
- 2016
32. A fast and retargetable framework for logic-IP-internal electromigration assessment comprehending advanced waveform effects
- Author
-
Universitat Politècnica de Catalunya. Departament de Ciències de la Computació, Universitat Politècnica de Catalunya. ALBCOM - Algorismia, Bioinformàtica, Complexitat i Mètodes Formals, Jain, Palkesh, Cortadella, Jordi, Sapatnekar, Sachin S., Universitat Politècnica de Catalunya. Departament de Ciències de la Computació, Universitat Politècnica de Catalunya. ALBCOM - Algorismia, Bioinformàtica, Complexitat i Mètodes Formals, Jain, Palkesh, Cortadella, Jordi, and Sapatnekar, Sachin S.
- Abstract
A new methodology for system-on-chip-level logic-IP-internal electromigration verification is presented in this paper, which significantly improves accuracy by comprehending the impact of the parasitic RC loading and voltage-dependent pin capacitance in the library model. It additionally provides an on-the-fly retargeting capability for reliability constraints by allowing arbitrary specifications of lifetimes, temperatures, voltages, and failure rates, as well as interoperability of the IPs across foundries. The characterization part of the methodology is expedited through the intelligent IP-response modeling. The ultimate benefit of the proposed approach is demonstrated on a 28-nm design by providing an on-the-fly specification of retargeted reliability constraints. The results show a high correlation with SPICE and were obtained with an order of magnitude reduction in the verification runtime., Peer Reviewed, Postprint (author's final draft)
- Published
- 2016
33. Descriptive complexity of #AC0 functions
- Author
-
Durand, Arnaud, Haak, Anselm, Kontinen, Juha, Vollmer, Heribert, Durand, Arnaud, Haak, Anselm, Kontinen, Juha, and Vollmer, Heribert
- Abstract
We introduce a new framework for a descriptive complexity approach to arithmetic computations. We define a hierarchy of classes based on the idea of counting assignments to free function variables in first-order formulae. We completely determine the inclusion structure and show that #P and #AC0 appear as classes of this hierarchy. In this way, we unconditionally place #AC0 properly in a strict hierarchy of arithmetic classes within #P. We compare our classes with a hierarchy within #P defined in a model-theoretic way by Saluja et al. We argue that our approach is better suited to study arithmetic circuit classes such as #AC0 which can be descriptively characterized as a class in our framework.
- Published
- 2016
34. A Synthetic Multicellular Memory Device
- Author
-
La Caixa, European Research Council, Santa Fe Institute (US), Generalitat de Catalunya, Fundación Caixa Galicia, Fundación Botín, Banco Santander, Institución Catalana de Investigación y Estudios Avanzados, Ministerio de Economía y Competitividad (España), Urrios, Arturo, Macía, Javier, Manzoni, Romilde, Conde-Pueyo, Núria, Bonforti, Adriano, Nadal, Eulàlia de, Posas, Francesc, Solé, Ricard V., La Caixa, European Research Council, Santa Fe Institute (US), Generalitat de Catalunya, Fundación Caixa Galicia, Fundación Botín, Banco Santander, Institución Catalana de Investigación y Estudios Avanzados, Ministerio de Economía y Competitividad (España), Urrios, Arturo, Macía, Javier, Manzoni, Romilde, Conde-Pueyo, Núria, Bonforti, Adriano, Nadal, Eulàlia de, Posas, Francesc, and Solé, Ricard V.
- Abstract
Changing environments pose a challenge to living organisms. Cells need to gather and process incoming information, adapting to changes in predictable ways. This requires in particular the presence of memory, which allows different internal states to be stored. Biological memory can be stored by switches that retain information on past and present events. Synthetic biologists have implemented a number of memory devices for biological applications, mostly in single cells. It has been shown that the use of multicellular consortia provides interesting advantages to implement biological circuits. Here we show how to build a synthetic biological memory switch using an eukaryotic consortium. We engineered yeast cells that can communicate and retain memory of changes in the extracellular environment. These cells were able to produce and secrete a pheromone and sense a different pheromone following NOT logic. When the two strains were cocultured, they behaved as a double-negative-feedback motif with memory. In addition, we showed that memory can be effectively changed by the use of external inputs. Further optimization of these modules and addition of other cells could lead to new multicellular circuits that exhibit memory over a broad range of biological inputs.
- Published
- 2016
35. More than Moore. Experience on material implication computing with an electromechanical memristor emulator
- Author
-
Universitat Politècnica de Catalunya. Departament d'Enginyeria Electrònica, Rubio Sola, Jose Antonio, Zuin Castillo, Stefano, Universitat Politècnica de Catalunya. Departament d'Enginyeria Electrònica, Rubio Sola, Jose Antonio, and Zuin Castillo, Stefano
- Abstract
The Memristor is considered as the fourth missing component in electrical circuits, among the R, L and C. Predicted by L.O. Chua in 1971 several experimental devices have been discovered and are considered as a promising component for future regular computers. The research group HIPIC of the UPC has been working in the topic for the last 3 years and now is involved in a collaborative national project on the topic., El final de la Ley de Moore es un hecho a tener en cuenta. Quizás, los Nanowire FETs pueden extender la vida del transistor pero no indefinidamente. Es por eso que es necesario encontrar un nuevo dispositivo lógico además de un nuevo paradigma de computación. Hoy en día, los ordenadores Cuánticos i Neuromórficos no pueden substituir los ordenadores actuales i solo ofrecen aplicaciones específicas. No obstante, Computación en Memoria ofrece la posibilidad de procesar y guardar datos a la vez utilizando Memristores e IMPLY. El Memristor, ofrece diversas características interesantes como el hecho de ser un dispositivo pasivo de dos estados o no volatilidad pero, los dispositivos físicos actuales muestran gran variabilidad. Este proyecto concibe el diseño i el desarrollo de un circuito electromecánico emulador de memristor i el objetivo de demostrar que es posible ejecutar algunas de las instrucciones aritméticas y lógicas del i4004 utilizando el emulador e IMPLY., The end to the Moore’s Law is a fact to have into account. Might be, nanowire FETs could extend transistor’s life but not too much time. For that reason, it is necessary to find new logic devices and a new computational paradigm. Nowadays, Quantum or Neuromorphic computers cannot substitute current computers and just offers specific applications. By the other hand, computing in memory, offers the possibility of store and process data at the same time using memristors and Material Implication Logic. The Memristor, is a device that offers several interesting characteristics as be a passive two-state device or its non-volatility but, current physical devices offers a high variety in its native parameters. This project conceives the design and development of an electromechanical memristive emulator circuit and the objective of demonstrate that is possible execute some of the arithmetic-logic instructions from an i4004 using the emulator and IMPLY., El final de la llei de Moore es un fet a tindre en compte. Pot set, els Nanowire FETs poden estendre la vida del transistor però no indefinidament. Es per això que es necessari trobar un element lògic a mes de un nou paradigma de computació. Avui dia, els ordinadors Quàntics i Neuromòrfics no poden substituir el ordinadors actual I nomes ofereixen aplicacions especifiques. No obstant, Computació en Memòria ofereix la possibilitat de desar i processar dades a la vegada utilitzant Memristors i IMPLY. El Memristor, ofereix diverses característiques interesants com el fet de ser un dispositiu passiu de dos estats o no volatilitat però, els dispositius físics actuals mostren gran variabilitat. Aquest projecte concep el disseny i desenvolupament d’un circuit electromecànic emulador de memristor i l’objectiu de demostrar que es possible executar algunes de les instruccions aritmètiques i lògiques del i4004 utilitzant l’emulador i IMPLY.
- Published
- 2016
36. Classifying the Quantum Phases of Matter
- Author
-
CALIFORNIA INST OF TECH PASADENA, Preskill, John P, CALIFORNIA INST OF TECH PASADENA, and Preskill, John P
- Abstract
This is the final report for Classifying the Quantum Phases of Matter, FA8750-12-2-0308. Among other achievements, we made progress toward the following goals: (1) finding a classification of locally definable quantum states (those without symmetries or long - range entanglement), (2) elucidating the properties of three-dimensional quantum codes (in particular those which admit no string - like logical operators. (3) characterizing symmetry-protected fermionic phases, (4) proving the stability of topological phases of matter with respect to generic perturbation.
- Published
- 2015
37. Diagnosis of Intermittent Faults in IGBTs Using the Latent Nestling Method with Hybrid Coloured Petri Nets
- Author
-
Universitat Politècnica de València. Departamento de Ingeniería de Sistemas y Automática - Departament d'Enginyeria de Sistemes i Automàtica, Universitat Politècnica de València. Instituto Universitario de Automática e Informática Industrial - Institut Universitari d'Automàtica i Informàtica Industrial, Ministerio de Ciencia y Tecnología, Universitat Politècnica de València, Rodríguez Urrego, Leonardo, García Moreno, Emilio, Quiles Cucarella, Eduardo, Correcher Salvador, Antonio, Morant Anglada, Francisco José, Piza, Ricardo, Universitat Politècnica de València. Departamento de Ingeniería de Sistemas y Automática - Departament d'Enginyeria de Sistemes i Automàtica, Universitat Politècnica de València. Instituto Universitario de Automática e Informática Industrial - Institut Universitari d'Automàtica i Informàtica Industrial, Ministerio de Ciencia y Tecnología, Universitat Politècnica de València, Rodríguez Urrego, Leonardo, García Moreno, Emilio, Quiles Cucarella, Eduardo, Correcher Salvador, Antonio, Morant Anglada, Francisco José, and Piza, Ricardo
- Abstract
This paper presents a fault diagnosis application of the Latent Nestling Method to IGBTs. The paper extends the Latent Nestling Method based in Coloured Petri Nets (CPNs) to hybrid systems in such a manner that IGBTs performance can be modeled. CPNs allow for an enhanced capability for synthesis and modeling in contrast to the classical phenomena of combinational state explosion when Finite State Machine methods are applied. We present an IGBT model with different fault modes including those of intermittent nature that can be used advantageously as predictive symptoms within a predictive maintenance strategy. Ageing stress tests have been experimentally applied to the IGBTs modules and intermittent faults are diagnosed as precursors of permanent failures. In addition, ageing is validated with morphological analysis (Scanning Electron Microscopy) and semiqualitative analysis (Energy Dispersive Spectrometry).
- Published
- 2015
38. Implementing unitary 2-designs using random diagonal-unitary matrices
- Author
-
Nakata, Yoshifumi, Hirche, Christoph, Morgan, Ciara, Winter, Andreas, Nakata, Yoshifumi, Hirche, Christoph, Morgan, Ciara, and Winter, Andreas
- Abstract
Unitary 2-designs are random unitary matrices which, in contrast to their Haar-distributed counterparts, have been shown to be efficiently realized by quantum circuits. Most notably, unitary 2-designs are known to achieve decoupling, a fundamental primitive of paramount importance in quantum Shannon theory. Here we prove that unitary 2-designs can be implemented approximately using random diagonal-unitaries.
- Published
- 2015
39. A novel simulation framework based on information asymmetry to evaluate evacuation plan
- Author
-
Che, X., Niu, Y., Shui, B., Fu, J., Fei, G., Goswami, Prashant, Zhang, Y., Che, X., Niu, Y., Shui, B., Fu, J., Fei, G., Goswami, Prashant, and Zhang, Y.
- Abstract
In this paper, we present a novel framework to simulate the crowd behavior under emergency situations in a confined space with multiple exits. In our work, we take the information asymmetry into consideration, which is used to model the different behaviors presented by pedestrians because of their different knowledge about the environment. We categorize the factors influencing the preferred velocity into two groups, the intrinsic and extrinsic factors, which are unified into a single space called influence space. At the same time, a finite state machine is employed to control the individual behavior. Different strategies are used to compute the preferred velocity in different states, so that our framework can produce the phenomena of decision change. Our experimental results prove that our framework can be employed to analyze the factors influencing the escape time, such as the number and location of exits, the density distribution of the crowd and so on. Thus it can be used to design and evaluate the evacuation plans. © 2015 Springer-Verlag Berlin Heidelberg
- Published
- 2015
- Full Text
- View/download PDF
40. Computer Architecture for Energy Efficient SFQ
- Author
-
IBM THOMAS J WATSON RESEARCH CENTER YORKTOWN HEIGHTS NY, Gibson, Jr, Gerald W, IBM THOMAS J WATSON RESEARCH CENTER YORKTOWN HEIGHTS NY, and Gibson, Jr, Gerald W
- Abstract
This report identifies a candidate architecture for an energy efficient SFQ-based processor unit. It quantitatively analyzes candidates for register and main memory with respect to access time, density and energy efficiency. Finally, it investigates the efficacy of three dimensional integration (3DI) for enhancing aerial circuit density., The original document contains color images.
- Published
- 2014
41. Information Hiding based Trusted Computing System Design
- Author
-
MARYLAND UNIV COLLEGE PARK OFFICE OF RESEARCH ADMINISTRATION AND ADVANCEMENT, Qu, Gang, Wu, Min, MARYLAND UNIV COLLEGE PARK OFFICE OF RESEARCH ADMINISTRATION AND ADVANCEMENT, Qu, Gang, and Wu, Min
- Abstract
This proposal is a three-year research project to enhance trust in computing system design, which we have achieved by a combination of research (21 published work), education (4 graduated Ph.D. students and 2 undergradauted courses), and presentation (18 invited talks). We focus on the design and implementation of sequential circuits, a crucial component for any real-time computing systems. More specifically, we demonstrate that (1) severe vulnerabilities exist in systems designed with today's design methodology; (2) an adversary can embed hardware Trojan without compromising design quality or being detected; and (3) practical design techniques can be developed to establish trust in sequential design. We have also investigated how to enhance system's trust by leveraging both the underlying hardware of the system (silicon physical unclonable functions) and the environment where the system operates (electrical network frequency signals), and how to improve the trust in a wireless sensor network with the presence of insider attackers. This project has contributed to raising the global awareness of hardware security and trust. The PI is currently working with AFRL researchers through a summer faculty program to expand trust design to nanoscale devices., The original document contains color images.
- Published
- 2014
42. Dizajn i minimizacija rekurzivnih Bulovih formula za memristivna logička kola
- Author
-
Malbaša, Veljko, Živanov, Ljiljana, Dautović, Staniša, Struharik, Rastislav, Milutinović, Veljko, Teodorović, Predrag, Malbaša, Veljko, Živanov, Ljiljana, Dautović, Staniša, Struharik, Rastislav, Milutinović, Veljko, and Teodorović, Predrag
- Abstract
U radu je razmatran problem dizajna i minimizacije rekurzivne Bulove formule konstruisane za proizvoljnu Bulovu funkciju y:BN →B. U cilju rešavanja ovog problema, predstavljene su dve algoritamske heuristike za minimizaciju rekurzivne Bulove formule. Minimizacija rekurzivne Bulove formule vrši se korišćenjem regularnih poredaka pozitivnih proizvod termova. U disertaciji je dokazano kako je ova regularnost poredaka zapravo potreban i dovoljan uslov da željena Bulova funcija y bude korektno predstavljena rekurzivnom Bulovom formulom konstruisanom na osnovu tih poredaka. Pokazano je i kako predstavljeni algoritmi daju bolje rezultate za veći broj instanci problema u poređenju sa algoritmima dostupnim u literaturi., In this thesis, the problem of design and minimization of recursive Boolean formula, based on an arbitrary Boolean function y:BN →B , is considered. As a solution of a problem, two heuristic algorithms that minimize the length of recursive Boolean formula, were presented. Minimization, itself, is done by using regular orders of positive product terms. In the thesis it was proved that the regularity of orders represents necessary and sufficient condition for correct representation of Boolean function y by recursive Boolean formula based on such regular order. Developed algorithms are compared with other heuristic algorithms for recursive Boolean formula minimization, available in the literature, and it is shown how algorithms proposed in this thesis provide better results for more problem instances.
- Published
- 2014
43. Electronic Properties and Device Applications of van-der-Waals Thin Films
- Author
-
Renteria, Jacqueline de Dios, Balandin, Alexander A.1, Renteria, Jacqueline de Dios, Renteria, Jacqueline de Dios, Balandin, Alexander A.1, and Renteria, Jacqueline de Dios
- Abstract
Successful exfoliation of graphene and discoveries of its unique electrical and thermal properties have motivated searches for other quasi two-dimensional (2D) materials with interesting properties. The layered van der Waals materials can be cleaved mechanically or exfoliated chemically by breaking the relatively weak bonding between the layers. In this dissertation research I addressed a special group of inorganic van der Waals materials - layered transition metal dichalcogenides (MX2, where M=Mo, W, Nb, Ta or Ti and X=S, Se or Te). The focus of the investigation was electronic properties of thin films of TaSe2 and MoS2 and their device applications. In the first part of the dissertation, I describe the fabrication and performance of all-metallic three-terminal devices with the TaSe2 thin-film conducting channel. The layers of 2H-TaSe2 were exfoliated mechanically from single crystals grown by the chemical vapor transport method. It was established that devices with nanometer-scale thickness channels exhibited strongly non-linear current-voltage characteristics, unusual optical response, and electrical gating at room temperature. It was found that the drain-source current in thin-film 2H-TaSe2-Ti/Au devices reproducibly shows an abrupt transition from a highly resistive to a conductive state, with the threshold tunable via the gate voltage. Such current-voltage characteristics can be used, in principle, for implementing radiation-hard all-metallic logic circuits. In the second part of the dissertation, I describe the fabrication, electrical testing and measurements of the low-frequency 1/f noise in three-terminal devices with the MoS2 thin-film channel (f is the frequency). Analysis of the experimental data allowed us to distinguish channel and contact noise contributions for both as fabricated and aged devices. The noise characteristics of MoS2-Ti/Au devices are in agreement with the McWhorter model description. The latter is contrary to what is observed in graphe
- Published
- 2014
44. Construction and Operation of Three-Dimensional Memory and Logic Molecular Devices and Circuits
- Author
-
TEL-AVIV UNIV (ISRAEL), Richter, Schachar E, TEL-AVIV UNIV (ISRAEL), and Richter, Schachar E
- Abstract
We set out to show proof-of-concept of fabricating molecular transistors which could be used as the basis for future molecular circuits. During the research, we demonstrated two new types of metal-oxide semiconductor transistors: vertical metal-insulator tunneling transistors (MITTs) and an additional device in which a self-assembled fullerene monolayer is coupled to a MITT. The presence of the molecular layer allows the transistor to exhibit higher currents and less leakage. We also constructed a ferrocene-based self-assembling monolayer attached to gold nanoparticles, exhibiting a gate effect which allows control of the transistor rectification via the gate voltage. The theoretical model to support this, and the fabrication and control techniques used to produce the device, may provide new insights on gated molecular junctions. Finally, we explored three-dimensional logic and memory devices in the form of resonant tunnel diodes (RTDs) used in the pre- CMOS era to construct digital circuits but with prohibitive fabrication costs. We demonstrated a low-cost plastic-based NDR device with highly-reproducible electronic characteristics, and constructed a simple digital circuit from them demonstrating two NDR devices in series acting as an AND logic gate., The original document contains color images.
- Published
- 2013
45. Nano-Electro-Mechanical (NEM) Relay Devices and Technology for Ultra-Low Energy Digital Integrated Circuits
- Author
-
CALIFORNIA UNIV BERKELEY DEPT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE, Nathanael, Rhesa, CALIFORNIA UNIV BERKELEY DEPT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE, and Nathanael, Rhesa
- Abstract
Complementary-Metal-Oxide-Semiconductor (CMOS) technology scaling has brought about an integrated circuits revolution over the past 40+ years, due to dramatic increases in IC functionality and performance, concomitant with reductions in cost per function. In the last decade, increasing power density has emerged to be the primary barrier to continued rapid advancement in IC technology, fundamentally due to non-zero transistor off-state leakage. While innovations in materials, transistor structures, and circuit/system architecture have enabled the semiconductor industry to continue to push the boundaries, a fundamental lower limit in energy per operation will eventually be reached. A more ideal switching device with zero off-state leakage becomes necessary. This dissertation proposes a solution to the CMOS power crisis via mechanical computing. Specifically, robust electro-mechanical relay technologies are developed for digital circuit application. A 4-Terminal (4T) relay design is firstly developed. Key technology features include tungsten contacts for high endurance; low-thermal-budget p+-poly-Si0.4Ge0.6 structure for post-CMOS process compatibility; Al2O3 as a reliable insulation material; dry release step to mitigate stiction; and folded-flexure design to mitigate the impact of residual stress. Fabricated relays show good conductance (R(ON) 10 kOhms), abrupt switching behavior (sub-threshold swing below 0.1 mV/dec), and virtually zero leakage (I(OFF) 10(-14) A). Switching delay in the 100 ns range and endurance exceeding 109 on/off cycles is achieved with excellent device yield ( 95%). With relay design and process optimizations, pull-in voltage below 10 V with less than 1 V hysteresis is achieved. Miniaturization reduces the device footprint to 35 micron x 50micron, 10% of the first generation device footprint (120 micron x 150micron). Relays with multiple source/drain electrodes and multiple gate electrodes are proposed for increased circuit functionality.
- Published
- 2013
46. All-Optical Logic Gates and Wavelength Conversion Via the Injection-Locking of a Fabry-Perot Semiconductor Laser
- Author
-
AIR FORCE INST OF TECH WRIGHT-PATTERSON AFB OH GRADUATE SCHOOL OF ENGINEERING AND MANAGEMENT, Harvey, Evan P, AIR FORCE INST OF TECH WRIGHT-PATTERSON AFB OH GRADUATE SCHOOL OF ENGINEERING AND MANAGEMENT, and Harvey, Evan P
- Abstract
This work investigates the implementation of all-optical wavelength conversion and logic gates based on optical injection locking (OIL). All-optical inverting, NOR, and NAND gates are experimentally demonstrated using two distributed feedback (DFB) lasers, a multi-mode Fabry?Perot laser diode (MMFP-LD), and a optical band-pass filter (BPF). The DFB lasers are externally modulated to represent logic inputs into the cavity of the MMFP-LD slave laser. The master lasers? wavelengths are aligned with the longitudinal modes of the MMFP-LD slave laser and their optical power is used to modulate the injection conditions in the slave laser. The optical BPF is used to select the longitudinal mode that is suppressed or transmitted given the logic state of the injecting master laser signals. When the input signal(s) is (are) in the on state, injection locking, and thus the suppression of the non-injected Fabry-Perot modes, is induced, yielding a dynamic system that can be used to implement photonic logic functions. Additionally, all-optical photonic processing is achieved using the cavity mode shift produced in the injected slave laser under external optical injection. The inverting logic case can also be used as a wavelength converter ? a key component in advanced wavelength-division multiplexing networks. The result?, The original document contains color images.
- Published
- 2013
47. Effects of 3 MeV protons on 4H-SiC bipolar devices and integrated OR-NOR gates
- Author
-
Suvanam, Sethu Saveda, Lanni, Luigia, Malm, B Gunnar, Zetterling, Carl-Mikael, Hallén, Anders, Suvanam, Sethu Saveda, Lanni, Luigia, Malm, B Gunnar, Zetterling, Carl-Mikael, and Hallén, Anders
- Abstract
In this paper, radiation effects of 3 MeV protons on 4H-SiC bipolar devices and integrated OR-NOR gates have been investigated. The chips were irradiated from a fluence of 1×108 cm-2 till 1×1013 cm-2. Up until a fluence of 1×1011 cm-2 both the bipolar devices and the logic gates were found to be stable, but for higher fluence they begin to degrade as a function of irradiation fluence. Using Technology Computer Aided Design (TCAD) simulation degradation of the transistor current gain have been found to be more dominated by surface traps than bulk defects. Simulation Program with Integrated Circuit Emphasis (SPICE) simulations on the logic circuits show that the gain degradation is the key contribution to the unstable performance of the circuits from the fluence of 1×1012 cm-2 and above., QC 20151130
- Published
- 2013
- Full Text
- View/download PDF
48. Logic-gate devices based on printed polymer semiconducting nanostripes
- Author
-
Gentili, Denis, Sonar, Prashant, Liscio, Fabiola, Cramer, Tobias, Ferlauto, Laura, Leonardi, Francesca, Milita, Silvia, Dodabalapur, Ananth, Cavallini, Massimiliano, Gentili, Denis, Sonar, Prashant, Liscio, Fabiola, Cramer, Tobias, Ferlauto, Laura, Leonardi, Francesca, Milita, Silvia, Dodabalapur, Ananth, and Cavallini, Massimiliano
- Abstract
The applications of organic semiconductors in complex circuitry such as printed CMOS-like logic circuits demand miniaturization of the active structures to the submicrometric and nanoscale level while enhancing or at least preserving the charge transport properties upon processing. Here, we addressed this issue by using a wet lithographic technique, which exploits and enhances the molecular order in polymers by spatial confinement, to fabricate ambipolar organic field effect transistors and inverter circuits based on nanostructured single component ambipolar polymeric semiconductor. In our devices, the current flows through a precisely defined array of nanostripes made of a highly ordered diketopyrrolopyrrole-benzothiadiazole copolymer with high charge carrier mobility (1.45 cm2 V-1 s-1 for electrons and 0.70 cm2 V-1 s-1 for holes). Finally, we demonstrated the functionality of the ambipolar nanostripe transistors by assembling them into an inverter circuit that exhibits a gain (105) comparable to inverters based on single crystal semiconductors.
- Published
- 2013
49. Conception d'un filtre Analogique / Numérique
- Author
-
Universitat Politècnica de Catalunya. Departament d'Enginyeria Electrònica, Cosp Vilella, Jordi, Martínez García, Herminio, Zhang, Xipeng, Universitat Politècnica de Catalunya. Departament d'Enginyeria Electrònica, Cosp Vilella, Jordi, Martínez García, Herminio, and Zhang, Xipeng
- Abstract
Projecte realitzat en el marc d’un programa de mobilitat amb la Université de Bourgogne, [ANGLÈS] In this thesis, a tunable analog filter with digital control is presented. The digital control is implemented by means of a Spartan 3A FPGA and programmable resistors. The system consists of two identical tunable filters. The master filter filters an input tone and the digital control compares the input and the output and modifies the programmable resistors to tune the central frequency of the filter to the input tone. Then, the same parameters are applied to the slave filter obtaining the tunable filter. Simulations and experimental results show the feasibility of this approach., [CASTELLÀ] En este proyecto se presenta un filtro analógico sintonizable con control digital. El control digital se implementa por medio de una FPGA Spartan 3A y resistencias programables. El sistema consta de dos filtros sintonizables idénticos. El filtro 'master' filtra un tono de entrada y el de control digital compara la entrada y la salida y modifica las resistencias programables para sintonizar la frecuencia central del filtro para el tono de entrada. Entonces, los mismos parámetros se aplican al filtro 'slave' para obtener el filtro sintonizable. Las simulaciones y los resultados experimentales muestran la viabilidad de este enfoque., [CATALÀ] En aquest projecte es presenta un filtre analògic sintonitzable amb control digital. El control digital s'implementa per mitjà d'una FPGA Spartan 3A i resistències programables. El sistema consta de dos filtres sintonitzables idèntics. El filtre 'master' filtra un to d'entrada i el de control digital compara l'entrada i la sortida i modifica les resistències programables per sintonitzar la freqüència central del filtre al to d'entrada. Llavors, els mateixos paràmetres s'apliquen al filtre 'slave' per obtenir el filtre sintonitzable. Les simulacions i els resultats experimentals mostren la viabilitat d'aquest enfocament.
- Published
- 2013
50. A single event transient hardening circuit design technique based on strengthening
- Author
-
Universitat Politècnica de Catalunya. Departament d'Enginyeria Electrònica, Universitat Politècnica de Catalunya. HIPICS - Grup de Circuits i Sistemes Integrats d'Altes Prestacions, Calomarde Palomino, Antonio, Amat Bertran, Esteve, Moll Echeto, Francisco de Borja, Rubio Sola, Jose Antonio, Universitat Politècnica de Catalunya. Departament d'Enginyeria Electrònica, Universitat Politècnica de Catalunya. HIPICS - Grup de Circuits i Sistemes Integrats d'Altes Prestacions, Calomarde Palomino, Antonio, Amat Bertran, Esteve, Moll Echeto, Francisco de Borja, and Rubio Sola, Jose Antonio
- Abstract
In a near future of high-density and low-power technologies, the study of soft errors will not only be relevant for memory systems and latches of logic circuits, but also for the combinational parts of logic circuits which seriously affect the system's operation. In this paper, we present a novel design strategy to reduce the impact of radiation-induced single event transients (SET) on logic circuits. This design style achieves SET mitigation by Strengthening the sensitive node using a likeness to feedback techniques. We have analyzed several techniques from hardening radiation at transistor level to a single event transient in 7nm FinFET devices. Simulation results have shown the proposed method has higher soft error robustness than the existing ones., Peer Reviewed, Postprint (published version)
- Published
- 2013
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.