123 results on '"low-k dielectrics"'
Search Results
2. Materials for Interconnections of Integrated Circuits with Design Standards Less Than 5 nm.
- Author
-
Rogozhin, A. E. and Glaz, O. G.
- Subjects
- *
INTEGRATED circuit interconnections , *INTEGRATED circuit design , *COPPER , *ELECTRONIC design automation , *ELECTRON scattering , *SURFACE scattering - Abstract
As ICs scale up, a problem that arises at the lower levels of the plating system is that the resistance of the copper traces increases rapidly as the size decreases. This is due to the increase in the contribution of electron scattering on the surface and at grain boundaries. In addition, copper lines require fixed-thickness barrier layers to prevent copper from diffusing into the low-k dielectric. When the cross section of the tracks is reduced, the contribution of the barrier layers to the track resistance is excxii essively high. In addition, when the track width is less than 10 nm, the resistance of copper to electromigration is insufficient. Therefore, it is necessary to look for alternative materials to replace copper, which will provide strong resistance to electromigration and low track resistance. The most promising candidates are Ru, Mo, Rh, and Ir. The advantages and disadvantages of these materials are discussed in this study. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
3. Comprehensive Review on the Impact of Chemical Composition, Plasma Treatment, and Vacuum Ultraviolet (VUV) Irradiation on the Electrical Properties of Organosilicate Films
- Author
-
Mikhail R. Baklanov, Andrei A. Gismatulin, Sergej Naumov, Timofey V. Perevalov, Vladimir A. Gritsenko, Alexey S. Vishnevskiy, Tatyana V. Rakhimova, and Konstantin A. Vorotilov
- Subjects
organosilicate glass (OSG) ,low-k dielectrics ,thin film ,electrical properties degradation ,charge transport ,trap energy ,Organic chemistry ,QD241-441 - Abstract
Organosilicate glass (OSG) films are a critical component in modern electronic devices, with their electrical properties playing a crucial role in device performance. This comprehensive review systematically examines the influence of chemical composition, vacuum ultraviolet (VUV) irradiation, and plasma treatment on the electrical properties of these films. Through an extensive survey of literature and experimental findings, we elucidate the intricate interplay between these factors and the resulting alterations in electrical conductivity, dielectric constant, and breakdown strength of OSG films. Key focus areas include the impact of diverse organic moieties incorporated into the silica matrix, the effects of VUV irradiation on film properties, and the modifications induced by various plasma treatment techniques. Furthermore, the underlying mechanisms governing these phenomena are discussed, shedding light on the complex molecular interactions and structural rearrangements occurring within OSG films under different environmental conditions. It is shown that phonon-assisted electron tunneling between adjacent neutral traps provides a more accurate description of charge transport in OSG low-k materials compared to the previously reported Fowler–Nordheim mechanism. Additionally, the quality of low-k materials significantly influences the behavior of leakage currents. Materials retaining residual porogens or adsorbed water on pore walls show electrical conductivity directly correlated with pore surface area and porosity. Conversely, porogen-free materials, developed by Urbanowicz, exhibit leakage currents that are independent of porosity. This underscores the critical importance of considering internal defects such as oxygen-deficient centers (ODC) or similar entities in understanding the electrical properties of these materials.
- Published
- 2024
- Full Text
- View/download PDF
4. UV-Excited Luminescence in Porous Organosilica Films with Various Organic Components.
- Author
-
Rasadujjaman, Md, Zhang, Jinming, Spassky, Dmitry A., Naumov, Sergej, Vishnevskiy, Alexey S., Vorotilov, Konstantin A., Yan, Jiang, Zhang, Jing, and Baklanov, Mikhail R.
- Subjects
- *
LUMINESCENCE , *FOURIER transform infrared spectroscopy , *DENSITY functional theory , *ANALYTICAL chemistry , *INFRARED spectroscopy - Abstract
UV-induced photoluminescence of organosilica films with ethylene and benzene bridging groups in their matrix and terminal methyl groups on the pore wall surface was studied to reveal optically active defects and understand their origin and nature. The careful selection of the film's precursors and conditions of deposition and curing and analysis of chemical and structural properties led to the conclusion that luminescence sources are not associated with the presence of oxygen-deficient centers, as in the case of pure SiO2. It is shown that the sources of luminescence are the carbon-containing components that are part of the low-k-matrix, as well as the carbon residues formed upon removal of the template and UV-induced destruction of organosilica samples. A good correlation between the energy of the photoluminescence peaks and the chemical composition is observed. This correlation is confirmed by the results obtained by the Density Functional theory. The photoluminescence intensity increases with porosity and internal surface area. The spectra become more complicated after annealing at 400 °C, although Fourier transform infrared spectroscopy does not show these changes. The appearance of additional bands is associated with the compaction of the low-k matrix and the segregation of template residues on the surface of the pore wall. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
5. Damage to OSG low‐k films during IPVD deposition of the Ta barrier layer.
- Author
-
Serov, Alexander O., Ryabinkin, Alexey N., Vishnevskiy, Alexey S., Naumov, Sergej, Pal, Alexander F., Rakhimova, Tatyana V., Seregin, Dmitry S., Vorotilov, Konstantin A., and Baklanov, Mikhail R.
- Subjects
- *
TANTALUM , *DC sputtering , *PHYSICAL vapor deposition , *GLOW discharges , *BAND gaps , *ENERGY bands - Abstract
The degradation of a porous organosilicate glass low‐k dielectric during the ionized physical vapor deposition of tantalum coating is studied. The main contribution to the damage is made by vacuum UV flux (1014–1015 s–1 cm–2) from the argon inductively coupled plasma of the ionizer, and the effect of the direct current magnetron sputter plasma is small. The damage by vacuum ultraviolet photons with an energy exceeding the band gap of the SiO2 matrix is associated not only with the removal of carbon‐containing groups (terminal CH3 and bridging CH2) but also with the breaking of Si–O bonds in the Si–O–Si matrix followed by the formation of hydrophilic Si–OH and Si–H groups. Consequently, the degree of damage can be much higher than would be expected from the depth of CH3 group depletion. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
6. NS-GAAFET Compact Modeling: Technological Challenges in Sub-3-nm Circuit Performance.
- Author
-
Mo, Fabrizio, Spano, Chiara Elfi, Ardesi, Yuri, Ruo Roch, Massimo, Piccinini, Gianluca, and Vacca, Marco
- Subjects
DIELECTRIC materials ,DIGITAL electronics ,GEOMETRIC modeling ,DIGITAL technology ,TRANSISTORS - Abstract
NanoSheet-Gate-All-Around-FETs (NS-GAAFETs) are commonly recognized as the future technology to push the digital node scaling into the sub-3 nm range. NS-GAAFETs are expected to replace FinFETs in a few years, as they provide highly electrostatic gate control thanks to the GAA structure, with four sides of the NS channel entirely enveloped by the gate. At the same time, the NS rectangular cross-section is demonstrated to be effective in its driving strength thanks to its high saturation current, tunable through the NS width used as a design parameter. In this work, we develop a NS-GAAFET compact model and we use it to link peculiar single-device parameters to digital circuit performance. In particular, we use the well-known BSIM-CMG core solver for multigate transistors as a starting point and develop an ad hoc resistive and capacitive network to model the NS-GAAFET geometrical and physical structure. Then, we employ the developed model to design and optimize a digital inverter and a five-stage ring oscillator, which we use as a performance benchmark for the NS-GAAFET technology. Through Cadence Virtuoso SPICE simulations, we investigate the digital NS-GAAFET performance for both high-performance and low-power nodes, according to the average future node present in the International Roadmap for Devices and Systems. We focus our analysis on the main different technological parameters with regard to FinFET, i.e., the inner and outer spacers. Our results highlight that in future technological nodes, the choice of alternative low-K dielectric materials for the NS spacers will assume increasing importance, being as relevant, or even more relevant, than photolithographic alignment and resolution at the sub-nm scale. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
7. Linker engineering of larger POSS-based ultra-low-k dielectrics toward outstanding comprehensive properties
- Author
-
Dai-Lin Zhou, Xing Wang, Wei-Cheng Qu, Qing-Yun Guo, Chen-Yu Li, Qin Zhang, Di Han, and Qiang Fu
- Subjects
Low-k dielectrics ,Polyhedral oligomeric silsesquioxane ,Hybrid materials ,Free volume ,Thermosets ,Science (General) ,Q1-390 - Abstract
Low-dielectric-constant (low-k) materials are an indispensable part of microprocessors as they can alleviate electronic crosstalk, charge build-up, and signal propagation delay. However, existing low-k materials usually have k values higher than 2 and inferior thermo-mechanical properties, which restrict the development of microelectronic devices. Although we have recently discovered that larger polyhedral oligomeric silsesquioxanes (POSS) are useful building blocks for fabricating advanced ultra-low-k materials, it remains to be seen whether a POSS cage and linker could be leveraged to tune the structure-property of the materials and create ultra-low-k materials with improved comprehensive properties. Herein, we propose a series of POSS-based hybrid materials (i.e., c-TnPBn and c-TnFn, n = 8, 10, and 12) that consist of distinct POSS cages and linkers. When the linker is kept identical, the gradual enlargement of the POSS cage enhances the porosity/free volume fraction of materials, resulting in quasi-linearly reduced k values for the resulting materials (k = 1.93 for c-T12PB12, 2.14 for c-T12F12). Meanwhile, the materials’ comprehensive properties can be significantly improved by increasing the POSS cage size or varying the linker’s length and type. As a result, ultra-low-k materials with good processability, low surface roughness (< 0.40 nm), excellent thermostability (> 480 °C), mechanical properties (elastic modulus > 2.5 GPa), and hydrophobicity have been obtained, and the low-k values can be maintained under high temperature (e.g., 300 °C) and wet condition. This work reveals the critical contribution of POSS cage size and linker to the structure and properties of POSS-based low-k materials and offers promising materials for the future of the microelectronic industry.
- Published
- 2023
- Full Text
- View/download PDF
8. Dielectric Barrier in the Subtractive Process of Formation of a Copper Metallization System.
- Author
-
Orlov, A. A., Rezvanov, A. A., Gvozdev, V. A., Orlov, G. A., Seregin, D. S., Kuznetsov, P. I., Blumberg, T., Veselov, A. A., Suzuki, T., Morozov, E. N., and Vorotilov, K. A.
- Subjects
- *
ATOMIC layer deposition , *CHEMICAL vapor deposition , *CHEMICAL solution deposition , *DIELECTRICS , *DIFFUSION barriers - Abstract
This article studies various methods for the formation of dielectric diffusion barriers between open areas of copper and an organosilicate low-k dielectric in the subtractive method of forming a metallization system, in which metal lines are first formed, and then a low-k dielectric is deposited. Films of dense and porous organosilicate glass deposited by chemical deposition from solutions are used as a low-k dielectric. A comparison is made between AlN barrier layers formed by atomic layer deposition and SiCN barriers deposited by plasma-assisted chemical vapor deposition. The successful formation of a model structure of copper metallization using AlN barriers is demonstrated. [ABSTRACT FROM AUTHOR]
- Published
- 2022
- Full Text
- View/download PDF
9. Evaluation methods of mechanical properties for low-k dielectrics
- Author
-
I. S. Ovchinnikov
- Subjects
young’s modulus ,low-k dielectrics ,nanoindentation ,atomic force microscopy ,quantitative nanomechanical mapping mode ,Information theory ,Q350-390 - Abstract
This review introduces the study of state-of-art methods for assessing the mechanical properties of insulating materials with low dielectric constant. The main features of measuring Young’s modulus of thin films insulating materials with low dielectric constant are determined by usage of Brillouin light scattering, surface acoustic wave spectroscopy, picosecond laser-acoustic method, ellipsometric porosimetry, nanoindentation and atomic force microscopy in various modes. The author estimated the optimum lateral and optimum depth resolution for each above method. The review analyzes the degree of sample preparation complexity for the measurements by these methods and describes what methods of measurement are destructive for the samples. Besides, the review makes a comparison for the results of evaluating Young’s modulus of insulating materials with low dielectric constant achieved by different methods. Comparative analysis of the methods for assessing mechanical properties lead us to the conclusion that the method of atomic force microscopy is superior to other methods described above, both in lateral (8 nm) and optimum depth (10 nm) resolution. It is shown that due to the small impact force of the atomic force microscope probe on the surface, the method does not have a destructive effect on the sample. In addition, there is no need to create special conditions for the experiment (e.g., the cleanliness level of the premises, the possibility of an experiment under environmental conditions, etc.). This makes the experiment relatively simple in terms of preparing the object of research. It has been also established that the method of atomic force microscopy in the mode of quantitative nanomechanical mapping allows forming a map of the distribution of the Young’s modulus of the insulating material as part of the metallization system of integrated circuits.
- Published
- 2021
- Full Text
- View/download PDF
10. UV-Excited Luminescence in Porous Organosilica Films with Various Organic Components
- Author
-
Md Rasadujjaman, Jinming Zhang, Dmitry A. Spassky, Sergej Naumov, Alexey S. Vishnevskiy, Konstantin A. Vorotilov, Jiang Yan, Jing Zhang, and Mikhail R. Baklanov
- Subjects
low-k dielectrics ,organosilica glass ,interconnects ,photoluminescence ,oxygen deficient centers ,Chemistry ,QD1-999 - Abstract
UV-induced photoluminescence of organosilica films with ethylene and benzene bridging groups in their matrix and terminal methyl groups on the pore wall surface was studied to reveal optically active defects and understand their origin and nature. The careful selection of the film’s precursors and conditions of deposition and curing and analysis of chemical and structural properties led to the conclusion that luminescence sources are not associated with the presence of oxygen-deficient centers, as in the case of pure SiO2. It is shown that the sources of luminescence are the carbon-containing components that are part of the low-k-matrix, as well as the carbon residues formed upon removal of the template and UV-induced destruction of organosilica samples. A good correlation between the energy of the photoluminescence peaks and the chemical composition is observed. This correlation is confirmed by the results obtained by the Density Functional theory. The photoluminescence intensity increases with porosity and internal surface area. The spectra become more complicated after annealing at 400 °C, although Fourier transform infrared spectroscopy does not show these changes. The appearance of additional bands is associated with the compaction of the low-k matrix and the segregation of template residues on the surface of the pore wall.
- Published
- 2023
- Full Text
- View/download PDF
11. Comprehensive Review on the Impact of Chemical Composition, Plasma Treatment, and Vacuum Ultraviolet (VUV) Irradiation on the Electrical Properties of Organosilicate Films.
- Author
-
Baklanov MR, Gismatulin AA, Naumov S, Perevalov TV, Gritsenko VA, Vishnevskiy AS, Rakhimova TV, and Vorotilov KA
- Abstract
Organosilicate glass (OSG) films are a critical component in modern electronic devices, with their electrical properties playing a crucial role in device performance. This comprehensive review systematically examines the influence of chemical composition, vacuum ultraviolet (VUV) irradiation, and plasma treatment on the electrical properties of these films. Through an extensive survey of literature and experimental findings, we elucidate the intricate interplay between these factors and the resulting alterations in electrical conductivity, dielectric constant, and breakdown strength of OSG films. Key focus areas include the impact of diverse organic moieties incorporated into the silica matrix, the effects of VUV irradiation on film properties, and the modifications induced by various plasma treatment techniques. Furthermore, the underlying mechanisms governing these phenomena are discussed, shedding light on the complex molecular interactions and structural rearrangements occurring within OSG films under different environmental conditions. It is shown that phonon-assisted electron tunneling between adjacent neutral traps provides a more accurate description of charge transport in OSG low- k materials compared to the previously reported Fowler-Nordheim mechanism. Additionally, the quality of low- k materials significantly influences the behavior of leakage currents. Materials retaining residual porogens or adsorbed water on pore walls show electrical conductivity directly correlated with pore surface area and porosity. Conversely, porogen-free materials, developed by Urbanowicz, exhibit leakage currents that are independent of porosity. This underscores the critical importance of considering internal defects such as oxygen-deficient centers (ODC) or similar entities in understanding the electrical properties of these materials.
- Published
- 2024
- Full Text
- View/download PDF
12. Synthesis of low-k SiONC thin films by plasma-assisted molecular layer deposition with tetraisocyanatesilane and phloroglucinol.
- Author
-
Park GB, Yang HL, Kim JM, Jung H, Baek G, Park CK, and Park JS
- Abstract
Low-k SiONC thin films with excellent thermal stabilities were deposited using plasma-assisted molecular layer deposition (PA-MLD) with a tetraisocyanatesilane (Si(NCO)
4 ) precursor, N2 plasma, and phloroglucinol (C6 H3 (OH)3 ). By adjusting the order of the N2 plasma exposure steps within the PA-MLD process, we successfully developed a deposition technique that allows accurate control of thickness at the Ångström level via self-limiting reactions. The thicknesses of the thin films were measured through spectroscopic ellipsometry (SE). By tuning the N2 plasma power, we facilitated the formation of -NH2 sites for phloroglucinol adsorption, achieving a growth per cycle of 0.18 Å cycle-1 with 300 W of N2 plasma power. Consequently, the thickness of the films increased linearly with each additional cycle. Moreover, the organic linkers within the film formed stable bonds through surface reactions, resulting in a negligible decrease in thickness of approximately -11% even upon exposure to a high annealing temperature of 600 °C. This observation was confirmed by SE, distinguishing the as-prepared film from previously reported low-k films that fail to maintain their thickness under similar conditions. X-ray photoelectron spectroscopy (XPS) and current-voltage (I-V) and capacitance-voltage (C-V) measurement were conducted to evaluate the composition, insulating properties, and dielectric constant according to the deposition and annealing conditions. XPS results revealed that as the plasma power increased from 200 to 300 W, the C/Si ratio increased from 0.37 to 0.67, decreasing the dielectric constant from 3.46 to 3.12. Furthermore, there was no significant difference in the composition before and after annealing, and the hysteresis decreased from 0.58 to 0.19 V owing to defect healing, while maintaining the leakage current density, breakdown field, and dielectric constant. The low dielectric constant, accurate thickness control, and excellent thermal stability of this MLD SiONC thin film enable its application as an interlayer dielectric in back-end-of-line process., (© 2024 IOP Publishing Ltd.)- Published
- 2024
- Full Text
- View/download PDF
13. Atomic Structure and Optical Properties of Plasma Enhanced Chemical Vapor Deposited SiCOH Low-k Dielectric Film.
- Author
-
Kruchinin, V. N., Volodin, V. A., Rykhlitskii, S. V., Gritsenko, V. A., Posvirin, I. P., Shi, Xiaoping, and Baklanov, M. R.
- Subjects
- *
PLASMA-enhanced chemical vapor deposition , *DIELECTRIC films , *ATOMIC structure , *OPTICAL properties , *X-ray photoelectron spectroscopy , *RAMAN spectroscopy - Abstract
The SiCOH low-k dielectric film was grown on Si substrate using plasma-enhanced chemical vapor deposition method. Atomic structure and optical properties of the film were studied with the use of X‑ray photoelectron spectroscopy (XPS), Fourier transform infrared (FTIR) absorption spectroscopy, Raman spectroscopy, and ellipsometry. Analysis of XPS data showed that the low-k dielectric film consists of Si–O4 bonds (83%) and Si–SiO3 bonds (17%). In FTIR spectra some red-shift of Si–O–Si valence (stretching) vibration mode frequency was observed in the low-k dielectric film compared with the frequency of this mode in thermally grown SiO2 film. The peaks related to absorbance by C–H bonds were observed in FTIR spectrum. According to Raman spectroscopy data, the film contained local Si–Si bonds and also C‒C bonds in the s–p3 and s–p2 hybridized forms. Scanning laser ellipsometry data show that the film is quite homogeneous, homogeneity of thickness is ~2.5%, and homogeneity of refractive index is ~2%. According to the analysis of spectral ellipsometry data, the film is porous (porosity is about 24%) and contains clusters of amorphous carbon (~7%). [ABSTRACT FROM AUTHOR]
- Published
- 2021
- Full Text
- View/download PDF
14. All-2D-Materials-Based Interconnects.
- Author
-
Sanaeepur, Majid
- Subjects
DIELECTRIC materials ,BORON nitride ,PERMITTIVITY ,CROSSTALK ,DIELECTRICS - Abstract
Boron nitride (BN) multi-layers are proposed as dielectric material for both horizontal and vertical graphene nanoribbon interconnects. The layer number dependence of the out-of-plane dielectric constant of BN multi-layers is utilized to simultaneously reduce the interlayer dielectric thickness and the crosstalk delay at 7 nm CMOS technology node. Since crosstalk effects are manifested more strongly in denser interconnects, the proposed all-two-dimensional-materials-based interconnection schemes are compared with Cu/low-k technology in terms of crosstalk delay. Results show that by reducing the interlayer dielectric thickness from 20 nm to 2 nm, the crosstalk delay ratio of the horizontal and vertical graphene nanoribbon interconnects to the Cu/pSiCOH counterparts decreases by 27.06% and 12.86%, respectively. It is also shown that as the interconnect length increases, both horizontal and vertical graphene nanoribbon interconnects with the BN dielectric prove more advantageous than the Cu/low-k counterparts. [ABSTRACT FROM AUTHOR]
- Published
- 2020
- Full Text
- View/download PDF
15. Features of Low-Energy He and Ar Ion Irradiation of Nanoporous Si/SiO2-Based Materials.
- Author
-
Sycheva, A. A. and Voronina, E. N.
- Subjects
- *
NANOPOROUS materials , *MOLECULAR dynamics , *ION energy , *IRRADIATION , *IONS - Abstract
Low-energy (50–200 eV) He and Ar ion irradiation of on Si/SiO2-based nanoporous materials is modeled with the use of the molecular dynamics method. The obtained results corroborate the experimentally observed effect of densification of near-surface layers of materials with small-size pores and low porosity due to the ion-induced pore collapse process. The differences in the He and Ar ion irradiation of nanoporous materials and the influence of ion energy on the intensity of structural changes are studied. [ABSTRACT FROM AUTHOR]
- Published
- 2020
- Full Text
- View/download PDF
16. Influence of porosity and pore size on sputtering of nanoporous structures by low-energy Ar ions: Molecular dynamics study.
- Author
-
Sycheva, A.A., Voronina, E.N., Rakhimova, T.V., and Rakhimov, A.T.
- Subjects
- *
POROSITY , *PORE size (Materials) , *ARGON , *SURFACE energy , *ION bombardment - Abstract
Graphical abstract Highlights • Presence of nanosized pores can enhance the sputtering. • Ion irradiation of nanoporous structures can cause the collapse of pores in near-surface layers. • As a result of pore collapse, a continuous solid layer is formed on the material surface. • Excess surface energy per unit volume is a key parameter to determine the probability of pore collapsing. Abstract In this paper we have carried out molecular dynamics simulation of the low-energy Ar ion irradiation of nanoporous homogeneous material with different porosity and pore sizes. Our results demonstrate that in a model with small pores (R pore = 0.8 nm) and relatively low (22%) porosity, the pores at near-surface layers collapsed due to the ion bombardment, whereas in a model with larger pores (R pore = 2.8 nm) and higher (44%) porosity no significant structural changes occurred under the same irradiation conditions. To study thermal stability of porous structures and to reveal the effects of both the pore radius and the porosity on pore collapsing, our nanoporous structures were subjected to gradual heating. The simulation results demonstrate distinct mechanisms of structural changes in the nanoporous materials depending on the value of the excess surface energy per unit volume. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
17. Investigating the degradation mechanisms of moisture on the reliability of integrated low-k stack.
- Author
-
Mischler, Léo, Cartailler, Vivien, Imbert, Grégory, Duchamp, Geneviève, and Frémont, Hélène
- Subjects
- *
LITERATURE reviews , *DIELECTRIC breakdown , *DIELECTRIC materials , *MOISTURE , *STRAY currents , *INTEGRATED circuits - Abstract
This study investigates the phenomenon of moisture diffusion within integrated circuits, focusing on low-k and SiCN dielectric materials. The research confirms the main pathway of moisture diffusion occurring through interfaces between materials. The various steps involved in the moisture diffusion mechanism are identified and linked to electrical characterizations, including variations in capacitance, modifications in leakage current behavior, and dielectric breakdown. A modification in the conduction mechanism is observed. The investigation also highlights different types of bonds formed between the dielectrics and moisture based on literature review and baking process. Saturated samples exhibit a partial reversibility after a 250 °C bake but do not fully recover. Nevertheless, reversibility is shown to be dependent on the moisture content reached prior to baking. • Main path of moisture diffusion in integrated Low-k stacks. • Materials characterization such as TEM/EDX, ToF-SIMS. • Electrical characterization such as capacitance variation and leakage measurements. • Reversibility aspect of moisture degradations. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
18. Acoustic Phonons and Mechanical Properties of Ultra-Thin Porous Low-k Films: A Surface Brillouin Scattering Study.
- Author
-
Zizka, J., King, S., Every, A., and Sooryakumar, R.
- Subjects
BRILLOUIN scattering ,METALLIC thin films ,POROUS materials ,METALLIC surfaces ,THICKNESS measurement - Abstract
To reduce the RC (resistance-capacitance) time delay of interconnects, a key development of the past 20 years has been the introduction of porous low-k dielectrics to replace the traditional use of SiO
2 . Moreover, in keeping pace with concomitant reduction in technology nodes, these low-k materials have reached thicknesses below 100 nm wherein the porosity becomes a significant fraction of the film volume. The large degree of porosity not only reduces mechanical strength of the dielectric layer but also renders a need for non-destructive approaches to measure the mechanical properties of such ultra-thin films within device configurations. In this study, surface Brillouin scattering (SBS) is utilized to determine the elastic constants, Poisson’s ratio, and Young’s modulus of these porous low-k SiOC:H films (∼ 25-250 nm thick) grown on Si substrates by probing surface acoustic phonons and their dispersions. [ABSTRACT FROM AUTHOR]- Published
- 2018
- Full Text
- View/download PDF
19. Synergistic effect of VUV photons and F atoms on damage and etching of porous organosilicate films.
- Author
-
Lopaev, Dmitry V., Zyryanov, Sergey M., Zotovich, Alexey I., Rakhimova, Tatyana V., Mankelevich, Yury A., Rakhimov, Alexander T., and Baklanov, Mikhail R.
- Subjects
- *
SILICATES , *ACTIVATION (Chemistry) , *POROUS materials , *FLUORINE , *TEMPERATURE effect - Abstract
Synergistic effect between VUV photons and F atoms in both damage and etching of porous organosilicate (OSG) low‐k films was studied. It was shown that both the OSG damage and etching rates by F atoms notably drop with decreasing temperature due to the existence of activation energy while the rate of the VUV‐induced damage practically does not change. The joint exposure can significantly exceed the sum of the separate effects of VUV photons and F atoms. The reason is that absorbed photons energy allows F atoms to overcome the activation barrier especially under lowered temperature. A possible mechanism of F atom surface reactions assisted by VUV photons is analyzed and discussed. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
20. Photoabsorption and damage of OSG low‐k films by VUV emission at 140–160 nm.
- Author
-
Lopaev, Dmitry V., Rakhlinsky, Vladislav V., Zyryanov, Sergey M., Mankelevich, Yury A., Rakhimova, Tatyana V., Kurchikov, Konstantin A., and Baklanov, Mikhail R.
- Subjects
- *
LIGHT absorption , *FAR ultraviolet radiation , *POROUS materials , *DIELECTRICS , *WAVELENGTH measurement - Abstract
Vacuum Ultraviolet (VUV) absorption and damage of porous OSG low‐k dielectrics in the wavelength range 140–160 nm was measured. The measurements were done for two OSG films with different porosity. VUV absorption by OSG dielectrics very slowly decreases with increasing wavelength compared to SiO2 which absorption sharply drops reaching the absorption edge. As the analysis has shown the absorption cross‐sections reduced to the Si atom density of these films are very close that indicates the same mechanisms of absorption and damage. The possible absorption and damage mechanisms are briefly discussed. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
21. Mesoporous Silica-Based Materials for Electronics-Oriented Applications
- Author
-
Łukasz Laskowski, Magdalena Laskowska, Neus Vila, Mateusz Schabikowski, and Alain Walcarius
- Subjects
mesoporous silica materials ,electrodes ,supercapacitors ,low-k dielectrics ,sensors ,molecular electronics ,functionalized silica ,electron transfer ,Organic chemistry ,QD241-441 - Abstract
Electronics, and nanoelectronics in particular, represent one of the most promising branches of technology. The search for novel and more efficient materials seems to be natural here. Thus far, silicon-based devices have been monopolizing this domain. Indeed, it is justified since it allows for significant miniaturization of electronic elements by their densification in integrated circuits. Nevertheless, silicon has some restrictions. Since this material is applied in the bulk form, the miniaturization limit seems to be already reached. Moreover, smaller silicon-based elements (mainly processors) need much more energy and generate significantly more heat than their larger counterparts. In our opinion, the future belongs to nanostructured materials where a proper structure is obtained by means of bottom-up nanotechnology. A great example of a material utilizing nanostructuring is mesoporous silica, which, due to its outstanding properties, can find numerous applications in electronic devices. This focused review is devoted to the application of porous silica-based materials in electronics. We guide the reader through the development and most crucial findings of porous silica from its first synthesis in 1992 to the present. The article describes constant struggle of researchers to find better solutions to supercapacitors, lower the k value or redox-active hybrids while maintaining robust mechanical properties. Finally, the last section refers to ultra-modern applications of silica such as molecular artificial neural networks or super-dense magnetic memory storage.
- Published
- 2019
- Full Text
- View/download PDF
22. Low-Power Nonvolatile Charge Storage Memory Based on MoS2 and an Ultrathin Polymer Tunneling Dielectric.
- Author
-
Woo, Myung Hun, Jang, Byung Chul, Choi, Junhwan, Lee, Khang June, Shin, Gwang Hyuk, Seong, Hyejeong, Im, Sung Gap, and Choi, Sung‐Yool
- Subjects
- *
CHARGE storage diodes , *THIN films , *DIELECTRIC devices , *DIELECTRIC materials , *DYNAMIC random access memory - Abstract
Low-power, nonvolatile memory is an essential electronic component to store and process the unprecedented data flood arising from the oncoming Internet of Things era. Molybdenum disulfide (MoS2) is a 2D material that is increasingly regarded as a promising semiconductor material in electronic device applications because of its unique physical characteristics. However, dielectric formation of an ultrathin low- k tunneling on the dangling bond-free surface of MoS2 is a challenging task. Here, MoS2-based low-power nonvolatile charge storage memory devices are reported with a poly(1,3,5-trimethyl-1,3,5-trivinyl cyclotrisiloxane) (pV3D3) tunneling dielectric layer formed via a solvent-free initiated chemical vapor deposition (iCVD) process. The surface-growing polymerization and low-temperature nature of the iCVD process enable the conformal growing of low- k (≈2.2) pV3D3 insulating films on MoS2. The fabricated memory devices exhibit a tunable memory window with high on/off ratio (≈106), excellent retention times of 105 s with an extrapolated time of possibly years, and an excellent cycling endurance of more than 103 cycles, which are much higher than those reported previously for MoS2-based memory devices. By leveraging the inherent flexibility of both MoS2 and polymer dielectric films, this research presents an important milestone in the development of low-power flexible nonvolatile memory devices. [ABSTRACT FROM AUTHOR]
- Published
- 2017
- Full Text
- View/download PDF
23. Facile synthesis of nanoscale high porosity IR-MOFs for low-k dielectrics thin films.
- Author
-
Guo, Hailing, Wang, Mei, Liu, Junjuan, Zhu, Shujie, and Liu, Chenguang
- Subjects
- *
NANOCHEMISTRY , *POROSITY , *DIELECTRICS , *THIN films , *ORGANOMETALLIC compounds , *NANOCRYSTALS - Abstract
To explore the preparation of ultra-low k MOFs material, uniform nanosized isoreticular metal−organic frameworks (IR-MOFs) nanocrystals were prepared by the optimized coordination modulation method and the IR-MOF nanocrystals were used to form IR-MOF films on an ITO glass by dip coating and secondary growth. The continuous thin MOF-3, MOF-5 and MOF-10 films supported on ITO glass had a dielectric constant of 1.2, 2.1 and 4.8 at 2 MHz respectively, and good mechanical strength, adhesion, and hydrophobicity. However, probably due to the presence of the strong polarity of NH 2 -BDC in MOF-3, and the presence of N–H moieties on grain boundaries, crystal surfaces, and internal crystal defects, which abundantly adsorb water, the MOF-3 film has higher low-k at 100 kHz. For the MOF-10 film with high low-k at 100 kHz, due to the low BET surface area, it could be related to the strong polarity of solvent molecular trapped in the films. [ABSTRACT FROM AUTHOR]
- Published
- 2016
- Full Text
- View/download PDF
24. Study of Cu diffusion behavior in carbon rich SiCN:H films deposited from trimethylphenylsilane.
- Author
-
Ermakova, E., Mogilnikov, K., Rumyantsev, Yu., Kichay, V., Maximovskii, E., Semenova, O., and Kosinova, M.
- Subjects
- *
SILICON compounds , *COPPER diffusion rate , *SILANE compounds , *CHEMICAL vapor deposition , *POROSITY , *FOURIER transform infrared spectroscopy , *TRANSMISSION electron microscopy - Abstract
Amorphous SiC x :H and SiC x N y :H films were grown on Si (100) substrates by plasma enhanced chemical vapor deposition using trimethylphenylsilane as a precursor. Detailed studies including Fourier transformed infra-red spectrometry, elemental analysis, transmission electron microscopy, dielectric constant and porosity investigations, and preliminary Cu diffusion experiments were performed. It was shown that the films contained pores connected by narrow channels with a diameter of 5 Å, and the total porosity did not exceed 1.5%. The film with both low dielectric constant and low porosity was chosen for Cu diffusion barrier experiments. Si/SiC x :H/Cu structure was created and then annealed. The investigation of cross-sectional cut suggested that the film had good barrier properties against copper diffusion. [ABSTRACT FROM AUTHOR]
- Published
- 2015
- Full Text
- View/download PDF
25. The Lucky Electron Model for TDDB in Low-k Dielectrics.
- Author
-
Lloyd, J. R.
- Abstract
At the present time, there are at least six models to describe time dependent dielectric breakdown in low- k dielectrics. They all agree with highly accelerated test data quite well and are difficult if not nearly impossible to differentiate experimentally. However, two of the models appear to fit long term test data (more than 2 years duration) and have survived detailed statistical analysis better than the others. These two models differ considerably in the physical description. This paper describes in detail one of the models known as the “impact damage” model or the “lucky electron” model. Because of the particular physical model described, certain predictions can be made compared to the other contender, the “power law” model that may lead to suggestions for experiments to determine which if either of these models is correct, thereby suggesting further steps that may be taken to mitigate or discount the associated reliability problem. [ABSTRACT FROM PUBLISHER]
- Published
- 2016
- Full Text
- View/download PDF
26. Nanoindentation for reliability assessment of ULK films and interconnects structures.
- Author
-
Yeap, Kong Boon, Iacopi, Francesca, Geisler, Holm, Hangen, Ude, and Zschech, Ehrenfried
- Subjects
- *
NANOINDENTATION , *RELIABILITY in engineering , *METALLIC thin films , *MOLECULAR structure , *POROUS materials , *DIELECTRICS - Abstract
Abstract: The structural integrity of interconnect structures containing ultra-low-k (ULK) dielectrics is highly dependent on the mechanical properties of the porous dielectrics, e.g. fracture toughness elastic modulus and adhesion as well. Four-point-bending (FPB) and double-cantilever-beam (DCB) methods for the evaluation of fracture properties require out-of-fab sample preparation and testing. The reliable characterization of interfacial adhesion is important for in-line/at-line process development and control in microelectronics manufacturing. The ability to detect an out-of-spec or defective ULK film at an early process step could potentially save processing and materials cost. Therefore, the development of quick turnaround experimental methodologies for monitoring in-line/at-line mechanical stability of ULK films and ULK-containing interconnects is of great interest for semiconductor industry. This study presents two novel experimental approaches for the evaluation of interface adhesion and mechanical robustness of on-chip interconnects structures based on nanoindentation and nanoscratch, (a) wedge indentation and (b) bump assisted BEOL stability indentation (BABSI) tests, respectively. Wedge indentation tests on ULK films with increasing porosity show a decrease of adhesion values. Correspondingly, BABSI tests show increasing failure rates for Cu/ULK interconnect structures containing mechanically weaker dielectrics. [Copyright &y& Elsevier]
- Published
- 2013
- Full Text
- View/download PDF
27. Study of Al2O3 nanolayers synthesized onto porous SiO2 using X-ray reflection spectroscopy.
- Author
-
Konashuk, A.S., Sokolov, A.A., Drozd, V.E., Schaefers, F., and Filatova, E.O.
- Subjects
- *
ALUMINUM oxide , *NANOPARTICLE synthesis , *SILICON oxide , *POROUS silica , *X-ray reflectometry , *MOLECULAR structure , *THICKNESS measurement - Abstract
Abstract: The structure of alumina (Al2O3) films with different thickness grown by the atomic layer deposition method on porous silica substrates has been studied using soft X-ray reflection spectroscopy. It was established that synthesized films were amorphous and the proportion of Al coordination (tetrahedral: octahedral) depends on the film thickness. The film growth starts from excess of tetrahedral (AlO4) coordination and thickening of the film leads to increasing of number of octahedral (AlO6) coordination in the structure. A critical thickness of amorphous Al2O3 film exists (in the range of studied films, this is a thickness of 13nm). For thicker films, the structure of amorphous Al2O3 film corresponds to massive film with the typical proportion of tetrahedrally and octahedrally coordinated sites in the structure. [Copyright &y& Elsevier]
- Published
- 2013
- Full Text
- View/download PDF
28. Dielectric behavior of organically modified siloxane melting gels
- Author
-
Gambino, Louis, Jitianu, Andrei, and Klein, Lisa C.
- Subjects
- *
DIELECTRICS , *SILOXANES , *COLLOIDS , *FUSION (Phase transformation) , *SOL-gel processes , *ALKOXYSILANES , *IMPEDANCE spectroscopy - Abstract
Abstract: Hybrid melting gels were prepared by a sol–gel process, starting with a mono-substituted alkoxysilane and a di-substituted alkoxysilane, methyltriethoxysilane (MTES) together with dimethyldiethoxysilane (DMDES). Five gel compositions were prepared with concentrations between 50% MTES–50% DMDES and 75% MTES–25% DMDES (in mol%). The index of refraction was measured using a Becke line method. The index of refraction decreased slightly as the amount of mono-substituted alkoxysilane decreased. The dielectric constant was determined from impedance spectrometry that followed dielectric constant and dielectric loss as a function of frequency. The dielectric constant was lowest in the 50% MTES–50% DMDES composition at frequencies greater than 1kHz, around 3.3. Since direct Sire less polarizable than Sih name="sbnd" />Si, the di-substituted alkoxysilane component decreases the dielectric constant. [Copyright &y& Elsevier]
- Published
- 2012
- Full Text
- View/download PDF
29. Porosity in Porous Methyl-Silsesquioxtane (MSQ) Films
- Author
-
Xu, J
- Published
- 2002
- Full Text
- View/download PDF
30. Fabrication of air gap dielectrics by nanoimprint lithography
- Author
-
Erenturk, Burcin, Park, Myoung-Hwan, Rotello, Vincent M., and Carter, Kenneth R.
- Subjects
- *
DIELECTRICS , *NANOLITHOGRAPHY , *MICROFABRICATION , *HIGH resolution imaging , *ORGANIC electronics , *POLYMETHACRYLATES , *SCANNING electron microscopy , *GOLD nanoparticles - Abstract
Abstract: We report a simple and high-resolution method to fabricate air gap dielectrics with well-defined geometries. This method utilizes nanoimprint lithography to pattern a thermally labile organic polymer, poly (2-hydroxyethyl methacrylate) (PHEMA), subsequently used as a sacrificial template to form air gap nanochannels in a low-k spin-on-glass, poly(methylsilsesquioxane) (PMSSQ). The morphology and dimensions of obtained structures were characterized by cross-sectional SEM, revealing well-defined, continuous and uniform nanochannels. Dielectric constant measurements showed that introduction of these air gap nanochannels into the PMSSQ layer decreased the dielectric constant value from 2.8 to 1.9, while nanoindentation experiments confirmed that the nanochannels were mechanically robust as the air gap films retained the hardness of 1GPa. Infusion with a fluorescent dye solution and subsequent quenching with gold nanoparticles demonstrated continuous nature and uniform distribution of the nanochannels throughout the sample. [Copyright &y& Elsevier]
- Published
- 2012
- Full Text
- View/download PDF
31. Photo-patternable fluorinated polyhedral oligomeric silsequioxane-functionalized (POSS-F) polymeric materials with ultra low dielectric constants
- Author
-
Vasilopoulou, Maria, Douvas, Antonios M., and Argitis, Panagiotis
- Subjects
- *
FLUORINATION , *OLIGOMERS , *PERMITTIVITY , *DIELECTRIC films , *ACRYLATES , *SULFONATES , *PHOTOLITHOGRAPHY - Abstract
Abstract: Polyhedral oligomeric silsesquioxane (POSS) based materials hold great promise for developing a photopatternable low-k material which eliminates the need for sacrificial layers when patterning low-k dielectric films. In this work we demonstrate that organic materials based on partially fluorinated, polyhedral oligomeric silsesquioxane (POSS) functionalized (meth)acrylates (POSS-F) containing appropriate amounts of the photoacid generator (PAG), triphenylsulfonium perfluorooctylsulfonate (TPS-PFOS), in order to achieve positive tone imaging, present ultra low k- values (lower than 2.0) which further decrease when the amount of the photoacid generator increases due to the large percentage of C–F bonds in the selected PAG. A concentration of about 5% w/w of the photo-acid generator was found to be optimal in order to obtain both acceptable photolithographic behaviour and ultra low-k properties. [Copyright &y& Elsevier]
- Published
- 2012
- Full Text
- View/download PDF
32. Charging response of back-end-of-the-line barrier dielectrics to VUV radiation
- Author
-
Sinha, H., Lauer, J.L., Antonelli, G.A., Nishi, Y., and Shohet, J.L.
- Subjects
- *
SILICON carbide , *THICKNESS measurement , *VACUUM ultraviolet spectroscopy , *SURFACE potential , *INTERFACES (Physical sciences) , *PHOTONS - Abstract
Abstract: The response of SiN, N-SiC, O-SiC, and SiC dielectrics of varying thickness deposited on Si substrates to irradiation with vacuum ultraviolet (VUV) was compared. The resulting charge was evaluated by measuring the surface potential on the dielectrics after irradiation with 9.5eV photons. The surface potential on all of the dielectrics was positive due to charge accumulation in traps located within the dielectrics. By comparing the surface potential on several thicknesses of dielectrics after VUV irradiation we can determine whether the trapped charges are in the bulk of the dielectric or at the dielectric–substrate interface. [Copyright &y& Elsevier]
- Published
- 2012
- Full Text
- View/download PDF
33. Variable Ramp Rate Breakdown Experiments and the Role of Metal Injection in Low- k Dielectrics.
- Author
-
Plawsky, Joel L., Borja, Juan, Williams, Brian, Riley, Michael J., and Gill, William N.
- Subjects
- *
DIELECTRICS , *COPPER , *ELECTRIC breakdown , *MATERIALS , *INJECTION molding of metals , *CATHODES , *IONS - Abstract
Varying the voltage ramp rate during conventional I –V testing allows one to distinguish between metals that react with the surface of a dielectric or barrier (Al), metals that react and can be injected into the dielectric or barrier (Cu), and metals that behave as inert electrodes (Au). By performing experiments over a wide range of ramp rates, one can distinguish between intrinsic breakdown driven by energetic electrons and holes and breakdown that is catalyzed by injected metal ions. The magnitude of the slopes of the I–V traces indicates whether breakdown is intrinsic or catalyzed by metal injection. A mass transfer model describing the drift of copper ions through the dielectric was able to reproduce the broad features of the experimental data. Predictions of the model, including that the slope of the I–V curve should be steeper for metal ion injection, that the breakdown field strengths for all metallizations should converge at very high ramp rates, and that d(\ln(tfail))/dR \approx -\1, were confirmed experimentally. Breakdown was shown to be controlled by processes occurring at the anode and differences in the breakdown field strength for the different metals appear to be related to the formation of an interfacial oxide layer between the metal and dielectric. [ABSTRACT FROM AUTHOR]
- Published
- 2011
- Full Text
- View/download PDF
34. Influence of the ion bombardment of O2 plasmas on low-k materials
- Author
-
Verdonck, Patrick, Šamara, Vladimir, Goodyear, Alec, Ferchichi, Abdelkarim, Van Besien, Els, Baklanov, Mikhail R., and Braithwaite, Nicholas
- Subjects
- *
ION bombardment , *OXYGEN , *PLASMA gases , *DIELECTRICS , *X-ray photoelectron spectroscopy , *CONTACT angle , *DEFORMATIONS (Mechanics) - Abstract
Abstract: In this study, special tests were devised in order to investigate the influence of ion bombardment on the damage induced in low-k dielectrics by oxygen plasmas. By placing a sample that suffered a lot of ion bombardment and one which suffered little ion bombardment simultaneously in the same plasma, it was possible to verify that ion bombardment in fact helped to protect the low-k film against oxygen plasma induced damage. Exhaustive analyses (ellipsometry, X-ray photoelectron spectroscopy, Fourier transform infrared spectroscopy, porosimetry, capacitance–voltage (C–V) measurements, water contact angle analysis) show that ion bombardment induced the formation of a denser top layer in the film, which then hampered further penetration of active oxygen species deeper into the bulk. This was further confirmed by other tests combining capacitively and inductively coupled plasmas. Therefore, it was possible to conclude that, at least for these plasmas, ion bombardment may help to reduce plasma induced damage to low-k materials. [Copyright &y& Elsevier]
- Published
- 2011
- Full Text
- View/download PDF
35. Porogen residues detection in optical properties of low-k dielectrics cured by ultraviolet radiation
- Author
-
Marsik, Premysl, Verdonck, Patrick, De Roest, David, and Baklanov, Mikhail R.
- Subjects
- *
THIN films , *OPTICAL properties , *ELECTRIC properties of thin films , *ULTRAVIOLET radiation , *PERMITTIVITY , *ELLIPSOMETRY , *POROUS materials , *PLASMA-enhanced chemical vapor deposition , *FOURIER transform infrared spectroscopy - Abstract
Abstract: The optical properties of low dielectric constant (low-k) films have been determined by variable angle spectroscopic ellipsometry in the range from 2eV to 9eV to characterize the process of porogen removal during the UV-cure. The studied carbon doped oxide (SiCOH) porous dielectric films have been prepared by plasma enhanced chemical vapor deposition. The films have been deposited as a composition of a matrix precursor and an organic porogen. After deposition, the films have been cured by thermal annealing and UV irradiation (λ=172nm) to remove the porogen and create a porosity of 33%, reaching a dielectric constant of 2.3. The process of porogen decomposition and removal has been studied on series of low-k samples, UV-cured for various times. Additional samples have been prepared by the deposition and curing of the porogen film, without SiCOH matrix, and the matrix material itself, without porogen. The analysis of the optical response of the porous dielectric as a mixture of matrix material, porogen and voids, together with Fourier transform infrared analysis, allows the sensitive detection of the volume of the porogen and indicates the existence of decomposed porogen residues inside the pores, even for long curing time. The variation of the deposition and curing conditions can control the amount of the porogen residues and the final porosity. [Copyright &y& Elsevier]
- Published
- 2010
- Full Text
- View/download PDF
36. Self-forming AlO x layer as Cu diffusion barrier on porous low-k film
- Author
-
Perng, Dung-Ching, Yeh, Jia-Bin, Hsu, Kuo-Chung, and Tsai, Shuo-Wen
- Subjects
- *
ALUMINUM-copper alloys , *THIN films , *POROUS materials , *DIFFUSION , *SPUTTERING (Physics) , *X-ray photoelectron spectroscopy , *INTERFACES (Physical sciences) , *ANNEALING of crystals - Abstract
Abstract: The copper diffusion barrier properties of an ultrathin self-forming AlO x layer on a porous low-k film have been investigated. Cu-3at.% Al alloy films were directly deposited onto porous low-k films by co-sputtering, followed by annealing at various temperatures. Transmission electron microscopy micrographs showed that a ∼5nm layer self-formed at the interface after annealing. X-ray photoelectron spectroscopy analysis showed that this self-formed layer was Al2O3. Sharp declines of the Cu and Si concentrations at the interface indicated a lack of interdiffusion between Cu and the porous low-k film for annealing up to 600°C for 30min. The leakage currents from Cu(Al)/porous low-k/Si structures were similar to as-deposited films even after a 700°C, 5min anneal while a Cu sample without Al doping failed at lower temperatures. Adding small amounts of Al to bulk Cu is an effective way to self-form copper diffusion layer for advanced copper interconnects. [Copyright &y& Elsevier]
- Published
- 2010
- Full Text
- View/download PDF
37. Copper-Line Topology Impact on the Reliability of SiOCH Low-k for the 45-nm Technology Node and Beyond.
- Author
-
Vilmay, Maxime, Roy, David, Monget, Cedric, Volpi, Fabien, and Chaix, Jean-Marc
- Published
- 2009
- Full Text
- View/download PDF
38. Novel Dielectric-Constant Evaluation Method for Low-k Multilevel Metallization Structures in ULSI.
- Author
-
Takirnoto, Yoshio and Maeda, Nobuhide
- Subjects
- *
DIELECTRICS , *ELECTRIC capacity , *CHEMICAL vapor deposition , *SIMULATION methods & models , *EVALUATION methodology , *CAPACITORS - Abstract
Precise evaluation of the dielectric constants of low-k interlayer dielectrics in ULSI is essential in order to analyze the effects of their fabrication process and their structure on their k-values. However, this is difficult to achieve in complicated multilayer structures with various kinds of stacked films having different physical properties. To address this problem, we have developed a novel evaluation method that makes it possible to precisely analyze the effects of structure and fabrication process on the k-values of dielectrics. [ABSTRACT FROM AUTHOR]
- Published
- 2009
- Full Text
- View/download PDF
39. Effect of surface hydrophobisation on the properties of a microporous phenylene-bridged organosilicate film.
- Author
-
Vishnevskiy, Alexey S., Vorotyntsev, Dmitry A., Seregin, Dmitry S., and Vorotilov, Konstantin A.
- Subjects
- *
SURFACE properties , *DIELECTRIC loss , *YOUNG'S modulus , *ALUMINUM silicates , *PERMITTIVITY , *DIELECTRIC materials - Abstract
• HMDS molecules can penetrate open micropores and react with surface silanols. • Silylation with HMDS reduces porosity, but pore size distribution remains unchanged. • –CH 3 groups introduced by HMDS have limited time-dependant temperature stability. • Annealing after HMDS vapour treatment leads to micropore wall strengthening. • Annealing of porous BTESB film at 390 °C in N 2 causes aromatic ring destruction. This study aims hydrophobisation of a microporous phenylene-bridged organosilicate film via its surface modification with hexamethyldisilazane (HMDS) vapour to adapt it for use as a low dielectric constant material for advanced microelectronics technology. The microporous organosilicate film was spin-coated with 1,4-bis (triethoxysilyl) benzene, followed by soft baking at 120–200 °C and annealing at 390 °C in N 2. Subtractive porosity was added using a sacrificial template — Brij® L4. This 1,4-phenylene-bridged film possessed a large Young's modulus and small pore size. However, due to steric effects during the film formation, a large amount of unreacted silanol remained on the surface. The HMDS-induced hydrophobisation reduced the number of residual silanols and adsorbed water molecules, despite the small pore size. A decrease in the hydrophilicity of the film surface led to an increase in the WCA value as well as a decrease in the dielectric constant and dielectric loss tangent. Ellipsometric porosimetry showed that the open porosity decreased without changes in the pore size distribution as a result of silylation by the HMDS vapour. However, the Fourier transform infrared spectra showed limited time-dependant temperature stability of the –CH 3 groups introduced by the HMDS vapour treatment. The decrease in open porosity during the heat treatment of the hydrophobised film was significantly reduced because of the strengthening of the pore walls. Consequently, this film demonstrated lower shrinkage and larger Young's modulus. [ABSTRACT FROM AUTHOR]
- Published
- 2022
- Full Text
- View/download PDF
40. Fluorine-doped SiO2 and fluorocarbon low-k dielectrics investigated by SIMS
- Author
-
Cwil, M., Kalisz, M., and Konarski, P.
- Subjects
- *
FLUORINE , *FLUOROCARBONS , *SECONDARY ion mass spectrometry , *SILICON oxide , *DIELECTRICS , *SEMICONDUCTORS , *THIN films , *CHEMICAL vapor deposition - Abstract
Abstract: In this work, we present secondary ion mass spectrometry (SIMS) investigations of the incorporation of fluorine into thin SiO2 films adapted as low-k dielectrics in the metal-oxide-semiconductor (MOS) devices. The insulating SiOF oxides with the thickness ranging from 1 up to 15nm have been prepared by plasma-enhanced chemical vapor deposition (PECVD) and/or by reactive ion etching (RIE) methods on 〈100〉 oriented p-Si substrates with the use of either CF4 or CHF3 source of the plasma. SIMS experiments were performed using ultra-low energy (1keV) argon ion beam and quadrupole mass analyzer. Depth profiles of the resulting dielectric films illustrate: (i) the incorporation of F into the SiO2 matrix is accomplished by either the CHF3 or CF4 plasmas; (ii) no etching of SiO2 matrix is observed by using of CHF3; (iii) CHF3 is a source of fluorocarbon film deposition on top of the SiO2 that prevents the SiO2 etching; and (iv) fluorine quantifications done based on implantation criteria give the maximum concentrations within the films from 6.0×1018 to 2.4×1020 atoms/cm3 depending on the different fluoridation conditions. The F concentration in SiO2 increases with the r.f. power of CF4 or with decreasing a gas pressure of CHF3 plasma. [Copyright &y& Elsevier]
- Published
- 2008
- Full Text
- View/download PDF
41. Oxygen chemiluminescence in He plasma as a method for plasma damage evaluation
- Author
-
Urbanowicz, A.M., Shamiryan, D., Baklanov, M.R., and De Gendt, S.
- Subjects
- *
CHEMILUMINESCENCE , *OXYGEN , *HELIUM plasmas , *ULTRAVIOLET radiation , *EMISSION spectroscopy , *FOURIER transform infrared spectroscopy - Abstract
Abstract: We propose a method for evaluating the hydrophilisation degree of low-k films upon plasma damage. The evaluation is based on optical emission spectroscopy analysis of O∗ emission during He plasma exposure of sample in question. The O∗ is presumably desorbed from damaged low-k film by vacuum–ultraviolet radiation from He plasma. The new method correlates well with other methods for plasma damage characterization such as Fourier Transform Infrared Spectroscopy and Water–Vapor Ellipsometric Porosimetry. The presented method gives a unique opportunity to assess the degree of hydrophilisation of low-k films immediately after processing. [Copyright &y& Elsevier]
- Published
- 2008
- Full Text
- View/download PDF
42. Comprehensive Chemistry Designs in Porous SiOCH Film Stacks and Plasma Etching Gases for Damageless Cu Interconnects in Advanced ULSI Devices.
- Author
-
Hayashi, Yoshihiro, Ohtake, Hiroto, Kawahara, Jun, Tada, Munehiro, Saito, Shinobu, Inoue, Naoya, Ito, Fuminori, Tagami, Masayoshi, Ueki, Makoto, Furutake, Naoya, Takeuchi, Tsuneo, Yamamoto, Hironori, and Abe, Mari
- Subjects
- *
INTEGRATED circuit interconnections , *COPPER , *PLASMA etching , *ULTRA large scale integration of circuits , *SEMICONDUCTOR manufacturing , *SEMICONDUCTOR industry - Abstract
High performance Cu dual-damascene (DD) interconnects without process-induced damages are developed in porous SiOCH stacks with the effective dielectric constant (keff) of 2.95, in which a carbon (C)-rich molecular-pore-stacking (MPS) SiOCH film (k = 2.5) is stacked directly on an oxygen (O)-rich porous SiOCH (k = 2.7) film. The novel etch-stopperless structure is obtained by comprehensive chemistry design of C/O ratios in the SiOCH stack and the etching plasma of an Ar/N2/CF4/O2 gas mixture technique. Large hydrocarbons attached to hexagonal silica backbones in the MPS-SiOCH prevent the Si-CHx bonds from oxidation during O2-plasma ashing, suppressing the C-depleted damage area at the DD sidewall. Combining multiresist mask process with immersion ArF photolithography, strictly controlled Cu DD interconnects with 180-nm pitched lines and 65-nm-diameter vias are obtained successfully, ready for the 300-mm fabrication. [ABSTRACT FROM AUTHOR]
- Published
- 2008
- Full Text
- View/download PDF
43. Hexamethyldisilazane vapor treatment of plasma damaged nanoporous methylsilsesquioxane films: Structural and electrical characteristics
- Author
-
Rajagopalan, T., Lahlouh, B., Chari, I., Othman, M.T., Biswas, N., Toma, D., and Gangopadhyay, S.
- Subjects
- *
TEMPERATURE , *SCANNING electron microscopes , *POLYESTER films , *PLASMA devices - Abstract
Abstract: Repair of plasma damaged nanoporous organosilicate films carried out by hexamethyldisilazane (HMDS) vapor treatment was investigated as a function of temperature. Capacitance–voltage measurements were carried out before and after HMDS vapor treatment. The dielectric constant measurements confirm that the HMDS vapor treatment facilitates only partial curing of the plasma damaged films, as also observed from the Fourier transform infrared absorption measurements. Bias temperature stress measurements for samples with copper (Cu) metal electrodes reveal a shift of −35 V in the capacitance–voltage curve for samples cured at 55 °C whereas negligible shift is observed for samples treated above 80 °C. This behavior suggests the existence of a dense solid layer on the top surface of the samples treated above 80 °C, hindering the diffusion or movement of Cu ions into the dielectric. Direct imaging of the HMDS vapor treated plasma damaged films using scanning electron microscope clearly shows the existence of two distinct layers, with the top layer (at the film–air interface) being denser than the bottom layer at the film–substrate interface. [Copyright &y& Elsevier]
- Published
- 2008
- Full Text
- View/download PDF
44. Adhesion properties of polymethylsilsesquioxane based low dielectric constant materials by the modified edge lift-off test
- Author
-
Kim, B.R., Kim, Y.D., Moon, M.S., Choi, B.K., and Ko, M.J.
- Subjects
- *
THIN films , *SOLID state electronics , *SEMICONDUCTOR industry , *ELECTRICAL engineering - Abstract
Abstract: Delamination occurring during the chemical and mechanical planarization process or wire bonding steps in packaging is a fundamental issue in integrating of low dielectric constant (low-k) materials into the multilayer structures of semiconductor chips. Since it is known that low adhesion strength is mainly attributed to the failure phenomenon, the measurement of interfacial fracture toughness is critical to provide a quantitative basis in the choice of the materials. In this study, a modified edge lift-off test was adopted to measure the fracture toughness of polymethylsilsesquioxane based low-k materials with various chemical and physical structures. Interfacial fracture toughness was improved by adding multi-functional monomers to methylsilsesquioxane monomers or by increasing the percentage of functional end groups inside the prepolymers. In addition, the change in curing conditions and thickness influenced the adhesion performance presumably by changing the morphology of low-k materials. [Copyright &y& Elsevier]
- Published
- 2008
- Full Text
- View/download PDF
45. The effect of deposition temperature on the structure and electrical properties of low-k film using Diethoxymethylsilane (DEMS) prepared by plasma enhanced chemical vapor deposition
- Author
-
Cheng, Y.L., We, B.J., O'Neill, M.L., and Karwacki, E.J.
- Subjects
- *
CHEMICAL vapor deposition , *VAPOR-plating , *DIELECTRICS , *EXCITON theory - Abstract
Abstract: The effect of deposition temperatures on the physical and electrical properties of low-k dielectrics was investigated in this work. The low-k films were deposited by plasma-enhanced chemical vapor deposition (PECVD) processes using diethoxymethylsilane (DEMS) as a precursor. Experimental results indicated that the deposition rate, refractive index, dielectric constant (k), and thermal stability were strongly dependent on the deposition temperature. Low-k films with a higher deposition temperature have more Si–C–Si bridge network and have higher hardness, but have the higher dielectric constant. It was also observed that low-k films deposited at a higher temperature display the better electrical and reliability performance in integrated structures. [Copyright &y& Elsevier]
- Published
- 2007
- Full Text
- View/download PDF
46. Computer simulation and optimization of properties of porous low-k dielectrics.
- Author
-
ELSNER, A. and HERMANN, H.
- Subjects
- *
DIELECTRICS , *POROUS materials , *MICROELECTRONICS , *PERMITTIVITY , *COMPUTER simulation , *RANDOM walks - Abstract
Due to progressive miniaturization one of the current challenges in microelectronics is to find materials with very low electric permittivity. The model of dense random packed spheres is applied to generate model systems of porous dielectric materials. Pores are represented by dense packed spheres. By optimizing the parameters, the porosity and therefore the theoretical electric permittivity was reduced significantly. Another task is optimization of mechanical properties. Mechanical stability is an important criterion for the processability in industrial fabrication of microlectronics components. The mechanical stability is mostly negatively correlated to porosity. Simulated open pore and closed pore systems with high porosity were analyzed in terms of mechanical properties. Other methods like an adapted random walk algorithm were used to characterize further important properties like particle permeability. In porous materials, the so-called "random voiding" may appear. This happens when pores are larger than the layer thickness. Simulation of porous structures can show limitations in pore size and spatial distribution where the requirements of industrial processability are no longer satisfied. Advantageous parameters for porosity in dielectric materials are advised. [ABSTRACT FROM AUTHOR]
- Published
- 2007
47. Thermal stability and gap-fill properties of spin-on MSQ low-k dielectrics
- Author
-
Ahner, N., Schulz, S.E., Blaschta, F., and Rennau, M.
- Subjects
- *
ALUMINUM , *ELLIPSOMETRY , *THIN films , *SURFACES (Technology) - Abstract
Abstract: Looking onto integration of low-k materials within FEOL used processing temperatures in this field are much higher than within BEOL. In addition partly high aspect ratio features have to be filled without defects, e.g. within usage of spin-on low-k materials for shallow trench isolation. We evaluated two MSQ-based spin-on dielectrics, a porous ultralow-k material and a dense spin-on glass regarding their thermal stability and gap-fill behaviour. The films were annealed from standard curing temperatures up to temperatures of 850°C and 900°C, film thickness and refractive index were measured by spectral ellipsometry, electrical film properties were evaluated by a mercury probe measurement and changes within chemistry are studied by FTIR. Both low-k materials are thermally stable up to temperatures of 650–700°C. Above this range the film thickness is rapidly decreasing, refractive index and corresponding to that the k-value are strongly increasing, as does the leakage current density. FTIR spectra show a shift within Si–O–Si backbone and Si–CH3 and CH3 bonds are vanishing, while OH groups are adsorbed, additionally leading to higher k-value and leakage currents. Both materials show very good gap-fill properties, filling features with aspect ratios up to 5 or 10 and Aluminium covered structures without any visible defects. [Copyright &y& Elsevier]
- Published
- 2007
- Full Text
- View/download PDF
48. Low-k dielectrics on base of silicon carbon nitride films
- Author
-
Fainer, Nadezhda, Rumyantsev, Yuri, Kosinova, Marina, Maximovski, Eugeni, Kesler, Valeri, Kirienko, Victor, and Kuznetsov, Fedor
- Subjects
- *
DIELECTRIC films , *THIN films in electrical insulation , *PARTICLES (Nuclear physics) , *CHEMICAL vapor deposition - Abstract
Abstract: Thin silicon carbonitride films were synthesized by PECVD using siliconorganic compound as single-source precursor within a temperature range of 373–623 K. IR and Raman spectroscopy, AES, XPS, ellipsometry, XRD using the synchrotron radiation, EDS, SEM, AFM, measurements of electrophysical, mechanical characteristics and optical properties were applied to study their physicochemical and functional properties. It was shown that low temperature films are low-k dielectrics with the following characteristics: a dielectric constant of 3.0–7.0, specific resistance, ρ =1013–1016 Om×cm, E dielectric breakdown ∼1 MV/cm, surface state density N ss ∼2.4·1011 cm−2·eV−1 and fixed charge density of about 1.6×1011 cm−2. The bandgap of the films changes from 5.35 up to∼3.30 eV. Obtained films are very flat and smooth, root mean square roughness R ms equals to ∼0.5–1.0 nm. Microhardness of these films changes from 1.9 up to 2.4 GPa, and Young''s modulus changes from 12.2 up to 15.9 GPa. [Copyright &y& Elsevier]
- Published
- 2007
- Full Text
- View/download PDF
49. Influence of thermal treatment of low dielectric constant SiOC(using MTES/O2 deposited by PECVD
- Author
-
Navamathavan, R., Kim, Seung Hyun, Jang, Yong Jun, Jung, An Soo, and Choi, Chi Kyu
- Subjects
- *
EXCITON theory , *VAPOR-plating , *CHEMICAL vapor deposition , *ELECTRON emission - Abstract
Abstract: Low dielectric constant SiOC(are deposited on p-type Si(100) substrates by plasma enhanced chemical vapor deposition (PECVD) using methyltriethoxysilane (MTES, C7H18O3Si) and oxygen gas as precursors. The SiOC(are deposited at room temperature, 100, 200, 300 and 400°C and then annealed at 100, 200, 300 and 400°C temperatures for 30min in vacuum. The influence of deposition temperature and annealing on SiOC(are investigated. Film thickness and refractive index are measured by field emission scanning electron microscopy and ellipsometry, respectively. Chemical bonding characteristics of as-deposited and annealed films are investigated by Fourier transform infrared (FTIR) spectroscopy in the absorbance mode. As more carbon atoms are incorporated into the SiOC( both film density and refractive index are decreased due to nano pore structure of the film. In the SiOC(CH3 group as an end group is introduced into h name="sbnd" />Sih name="sbnd" /> network, thereby reducing the density to decrease the dielectric constant thereof. The dielectric constant of SiOC(s evaluated by C–V measurements using metal–insulator–semiconductor (MIS), Al/SiOC(alic>p-Si structure and it is found to be as low as 2.2 for annealed samples deposited at 400°C. [Copyright &y& Elsevier]
- Published
- 2007
- Full Text
- View/download PDF
50. Depth-profiled positronium annihilation lifetime spectroscopy on porous films
- Author
-
Peng, Hua-Gen, Vallery, Richard S., Liu, Ming, Skalsey, Mark, and Gidley, David W.
- Subjects
- *
NUCLEAR reactions , *ELECTRONS , *EXCITON theory , *POSITRON annihilation - Abstract
Abstract: Positronium annihilation lifetime spectroscopy (PALS) is a unique porosimetry technique with broad applicability in characterizing nanoporous materials, especially insulators. In beam-based PALS a focused beam of several keV positrons forms positronium (Ps, the electron–positron bound state) with a depth distribution (typically 5nm–5μm) that depends on the selected positron beam energy. Ps localizes in the pores where its natural annihilation lifetime of 142ns is strongly reduced by collisions with pore surfaces. The collisionally reduced Ps lifetime is directly correlated with pore size, the key feature in transforming a Ps lifetime distribution into a pore size distribution over the 0.3–30nm diameter range. Depth-profiling with PALS has proven to be an ideal way to non-destructively search for depth-dependent changes in the pore structure and to explore porosity hidden beneath dense layers or diffusion barriers whereby the positrons are injected through the barrier into the porous film. Profiling also determines the pore interconnection length, a unique measure of the degree of pore interconnection prior to film percolation. The capability of PALS is rapidly maturing as new intense positron beams around the globe spawn more accessible PALS facilities. [Copyright &y& Elsevier]
- Published
- 2007
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.