124 results on '"Lawrence S. Melvin"'
Search Results
2. Electrical analysis of a stochastically simulated 2 nm node electrical test structure
- Author
-
Lawrence S. Melvin, Wolfgang Demmerle, Joachim Siebert, Phil Stopford, Sergey Zavadskiy, Renato Hentschke, Krishna Ramkumar, Sylvain Berthiaume, Yudhishthir Kandel, Wolfgang Hoppe, Ulrich Klostermann, Zachary A. Levinson, Hans-Jürgen Stock, and Ulrich Welling
- Published
- 2023
3. Wafer level response to mask deficiencies in 0.55-numerical aperture extreme ultraviolet photolithography
- Author
-
Lawrence S. Melvin and Rik Jonckheere
- Published
- 2022
4. Two-dimensional feature stochastic printing with mask deficiencies in high-NA EUV
- Author
-
Lawrence S. Melvin
- Published
- 2022
5. Contribution of mask roughness in stochasticity of high-NA EUV imaging
- Author
-
Lawrence S. Melvin and Rik Jonckheere
- Subjects
Materials science ,business.industry ,Extreme ultraviolet lithography ,Ripple ,Surface finish ,engineering.material ,Optics ,Planar ,Stack (abstract data type) ,Coating ,engineering ,Wafer ,business ,Line (formation) - Abstract
This paper extends the 2019 and 2020 symposium contributions clearly showing that (local) mask defects and non-local mask defects (NLMDs) act as triggers for increased stochastic failure probability on the EUV printed wafer. The present work focuses on anamorphic imaging at 0.55 NA, including horizontal and vertical pattern orientations, and comprises defocus conditions and line breaking as a second failure mechanism. Two roughness type NLMDs are studied: multilayer (ML) ripple relates to a non-fully planar coating of the ML mask stack. Mask absorber line-edge roughness is addressed as a second roughness type NLMD. The longer-term intent is to inspire defining limits to their impact, from the perspective that an increased mask contribution to stochastics of high-NA EUV lithography must be avoided.
- Published
- 2021
6. Panel Discussion: Mask readiness for 3nm and beyond: a mask supplier’s perspective
- Author
-
Tilmann Heil, Bryan S. Kasprowicz, Andrew Wall, Masashi Sunako, Lawrence S. Melvin, and Emily Gallagher
- Subjects
Computer science ,business.industry ,Extreme ultraviolet lithography ,Perspective (graphical) ,Telecommunications ,business ,Lithography ,Panel discussion ,PATH (variable) - Abstract
As EUV is adopted by more companies, the insertion strategy and timing begin to drive new mask requirements. Traditional lithography extensions employed for DUV may now appear with EUV, from ILT to PSM to aggressive use of pellicles. Looking beyond was has been successful with EUV HVM and towards what we anticipate the requirements will be for the future, this panel will provide a suppliers perspective on where they believe the mask infrastructure stands to support low-k1 imaging for 33NA today and the initial path to support 55NA tomorrow.
- Published
- 2021
7. Contribution of mask defectivity in stochastics of EUVL-based wafer printing
- Author
-
Rik Jonckheere and Lawrence S. Melvin
- Subjects
Optics ,Materials science ,Resist ,business.industry ,Rippling ,Extreme ultraviolet lithography ,Extreme ultraviolet ,Wafer ,Surface finish ,Photomask ,Line edge roughness ,business - Abstract
Two earlier publications showed that mask defectivity contributes to the stochastics of the EUVL-printed image on wafer. The present contribution gives more insights into the methodology and resist models used therein. In addition, an extended study of two types of mask roughness is presented, comprising mask absorber line-edge roughness and rippling of the multilayer mirror on the mask. For both, it is shown that contributions to stochastics are larger than expected purely from normalized intensity log-slope considerations. As a second topic, printability of local defects is readdressed at smaller pitches and more state-of-the-art illumination settings, in preparation to a genuine study of mask defectivity contribution to wafer printing stochastics at high-NA EUV lithography. First results for one-dimensional mask patterns indicate an influence of the anamorphic characteristic of high-NA imaging, showing a different behavior for vertical and horizontal orientations.
- Published
- 2021
8. Two-dimensional feature stochastic printing with mask deficiencies in high-NA EUV
- Author
-
Rik Jonckheere, Lawrence S. Melvin, and Eric Hendrickx
- Subjects
Optics ,Feature (computer vision) ,Computer science ,business.industry ,Semiconductor device fabrication ,Extreme ultraviolet lithography ,Line (geometry) ,Surface roughness ,Wafer ,Photomask ,Pattern orientation ,business - Abstract
An Extreme Ultra-Violet (EUV) photo mask is a complex engineering marvel. It is integral to semiconductor manufacturing, as it holds the design layout information intended for the device. The mask complexity allows many potential points of variation during the mask manufacturing process. Some of these deviations from the ideal mask remain benign to wafer patterning but others impact the wafer pattern. To date, reported studies have characterized the impact of local mask defects in the absorber pattern and within the multilayer mirror of an EUV mask [1], as well as various non-local mask deficiencies such as multilayer mirror surface roughness and contamination growth [2]. The results revealed relationships between mask quality limitations and stochastic failures on the wafer. The studies to date were based on line-and-space patterns to reduce optical complexity and were completed using NA 0.33. The study proposed will extend the research effort into two-dimensional (2D) structures such as contacts, via, and tip-to-tip containing line/space structures, to further understand the impact of mask defects in complex imaging configurations. The study will also investigate these 2D structures patterned with high-NA EUV lithography. As a start, one-dimensional structures will be imaged with high-NA EUV using conditions from previous studies to provide a continuous baseline. The introduction of 2D structures brings optical and computational complexities into the study. The optics contain more complex information than found in the line/space patterns used in previous studies. This may lead to additional impacts not observed in previous work. In addition, due to the complexities of these patterns, the computational effort becomes larger, which may necessitate improved algorithms or learning methodologies. Additionally, in the anamorphic high-NA system the choice of pattern orientation in the 4x or 8x direction is thought to be based on critical feature type such as tip-to-tip or minimum CD, but the introduction of stochastic mask analysis may influence this preference. This work is expected to contribute to a better understanding of which mask quality aspects must be tightened for high-NA EUV.
- Published
- 2021
9. Stochastic printing behavior of non-local mask deficiencies in EUV lithography
- Author
-
Lawrence S. Melvin and Rik Jonckheere
- Subjects
Optics ,Computer science ,business.industry ,Extreme ultraviolet lithography ,Reticle ,Wafer ,Surface finish ,Line edge roughness ,Non local ,business - Abstract
This paper extends the 2019 findings, that local defects on an EUV mask are trigger points for stochastic failures on the printed wafer, to a simulation study of non-local mask deficiencies. These relate to shortcomings of a larger area nature where the reticle deviates from an ideal reticle or fails specification. These include aspects such as global CD error, absorber slope, contamination effects, line edge roughness, and multilayer roughness. The presented results suggest that mask specifications may need refinement, specifically for roughness type deficiencies, in order to help mitigate the mask contribution in the stochastics of wafer printing by EUV lithography.
- Published
- 2020
10. Applying stochastic simulation to study defect formation in EUV photoresists
- Author
-
Lawrence S. Melvin, Ulrich Welling, Yudhishthir Kandel, Zachary A. Levinson, Hironobu Taoka, Hans-Jurgen Stock, and Wolfgang Demmerle
- Subjects
Physics and Astronomy (miscellaneous) ,General Engineering ,General Physics and Astronomy - Abstract
Extreme ultra-violet lithography lithography resolves features below 11 nm. However, photonic and atomic variations at these photon energies and dimensions lead to less than 1:109 potential stochastic defects causing device failures in stable manufacturing processes. This study investigates a methodology intended to identify root causes of stochastic defects with potential mitigation paths. Simulation techniques using pseudo random numbers are used to identify failing photonic and chemical event or distribution combinations. Failing combinations occurring in many photon-chemical configurations are thought to have potential mitigation methodologies. Photonic effects demonstrated significant impacts on stochastic defect formation with approximately 73% of the photon seeds resulting in a failure in at least 60% of the trials. The material results were mixed with large failure quantities that demonstrated low impacts. The photonic shot noise based failures were dominating in this study and these failures will not be mitigated by material enhancement alone.
- Published
- 2022
11. Simulation of photoresist defect transfer through subsequent patterning processes
- Author
-
Mohamed Oulmane, Dominik Metzler, Sagarika Mukesh, Karthik Yogendra, Lawrence S. Melvin, and Phil Stopford
- Subjects
Materials science ,Resist ,business.industry ,Chemical-mechanical planarization ,Extreme ultraviolet lithography ,Process (computing) ,Optoelectronics ,Deposition (phase transition) ,Photoresist ,business - Abstract
Stochastic defects in the photoresist profile are one of the main yield limiters in EUV lithography patterning. These stochastic defects can be, for example, local resist loss, resist profile footing, or resist scumming. A subset of these defects is transferred through the hardmask open (HMO) patterning, leading ultimately to electrical opens and shorts. We use on-wafer data and process recipes to inform a physical etch model of the HMO process. This model is tested and confirmed by comparison to additional on-silicon data. The established model provides a visualization of the defect transfer through individual process steps and highlights critical patterning steps that may limit electrical yield. For example, a change in in-situ deposition time is observed to be more sensitive than oxide open or planarization film open times both in the model and on-wafer. This provides us the insight to focus tuning deposition step times to reduce defectivity and improve process performance. Furthermore, this model provides insight into the type of defects which are eliminated during specific patterning steps, and the type of defects which are persistent and ultimately lead to electrical opens and shorts. To characterize these defects, we plant intentional defects with varying dimensions and study which ones stay through the entire HMO process and which ones are eliminated. This insight helps better understand the HMO process, which may lead in the future to further process improvements.
- Published
- 2020
12. Calibration of a MOx-specific EUV photoresist lithography model
- Author
-
Peter De Schepper, Lawrence S. Melvin, Joren Severi, Amrit K. Narasimhan, Danilo De Simone, Craig D. Needham, Ulrich Welling, Stephen T. Meyers, and Joren Wouters
- Subjects
Materials science ,Resist ,business.industry ,Computational lithography ,Extreme ultraviolet lithography ,Optoelectronics ,Context (language use) ,Process optimization ,Photoresist ,business ,Lithography ,MOX fuel - Abstract
MOx resists have matured into promising alternatives to conventional CAR resists for advanced-node EUV lithography where these materials offer potential improvements to patterning fidelity and high etch resistance based on metallic components. This is a particular boon for processes with limited exposure latitudes such as High-NA EUV lithography. Creating and employing first-principle models of MOx lithographic processes should speed adoption and development of these materials and represents an important aspect of platform maturation. Stochastic photochemical models of metalcontaining resist systems have previously been developed, but without extension to computational lithography. Likewise, stochastic models derived from CAR systems have been fit to MOx lithographic data using computational lithography software, facilitating limited stochastic lithography studies without capturing fundamental MOx imaging processes. Recently, a rigorous stochastic model built from the ground up using MOx-specific resist principles has been developed. In this contribution, the performance of this MOx-specific model was assessed by comparing simulated and experimental lithography data for a series of MOx resists under a range of exposure and process conditions. Chemical and physical properties of the resists derived independently from X-ray diffraction, EUV absorbance, FTIR spectroscopy, and ellipsometry measurements were parameterized in the context of the simulation, and calibration routines were used to fit simulated data to experimental CD-SEM exposure data produced using an NXE-3300B EUV scanner. Insights from these models may be used to guide MOx resist development and EUV lithography process optimization. Ultimately, these studies will help to identify process windows, processing points, and possibly improvements to the MOx resists.
- Published
- 2020
13. Impact of flare on source mask optimization in EUVL for 7nm technology node
- Author
-
Lawrence S. Melvin, Yongdong Wang, Zac Levinson, Thuc Dam, Rui Chen, Yayi Wei, Jay Lee, Rongbo Zhao, Lisong Dong, Jianjun Jia, and Taian Fan
- Subjects
Physics ,Computer simulation ,business.industry ,Extreme ultraviolet lithography ,law.invention ,Process variation ,Wavelength ,Optics ,law ,Surface roughness ,Process window ,Node (circuits) ,business ,Flare - Abstract
In EUV lithography, the short wavelength and residual mirror surface roughness increase the flare levels across the slit. As a key research point, the flares of different exposure fields are carefully discussed by numerical simulation. To ensure the effectiveness and practicability of our simulations, the test patterns are generated according to the general design rules for 7nm technology node. The NILS, process variation band (PVB) and MEEFs from mask optimizations and source mask optimizations (SMO) results are compared. From the comparisons, the constant flare has a greater influence on NILS and PVB than that on MEEF. In contrast, the flare map caused more reduction on the MEEF values.
- Published
- 2020
14. Stochastic printing behavior of ML-defects on EUV mask
- Author
-
Lawrence S. Melvin, Renzo Capelli, and Rik Jonckheere
- Subjects
Scanner ,Optics ,Materials science ,business.industry ,Extreme ultraviolet lithography ,Wafer ,Failure rate ,Surface finish ,Residual ,business ,Focus (optics) ,Aerial image - Abstract
One of the residual concerns for use of EUV patterning for IC manufacturing is its stochastic behavior. The present paper specifically studies the contribution of mask defects to the stochastic failure rate, with a focus on defects related to the multilayer mirror of the mask, so-called ML-defects. By simulation, a universal relation is obtained between the probability that a given mask defect triggers a stochastic failure on wafer and the average local CD deviation that it causes. Even marginal ML-defects, which cause less than 10% CD deviation, are shown to act as trigger points for locally increased failure probability. This finding is supported by experimental data based on AIMS EUV aerial image measurements in scanner photon stochastics emulation mode. In addition, other local defect types on mask are shown to behave in the same way. Non-local mask deficiencies, such as line-edge roughness and contamination effects, can influence the contribution of the mask to the stochastic failure rate of the printed image on wafer.
- Published
- 2019
15. The Discovery of Nonclassical Cannabinoid Analgetics
- Author
-
M. Ross Johnson and Lawrence S. Melvin
- Subjects
Benzodiazepine ,Cancer chemotherapy ,medicine.drug_class ,business.industry ,medicine.medical_treatment ,Dopaminergic ,Pharmacology ,Levonantradol ,medicine ,GABAergic ,Cannabinoid ,business ,Morphine analgesia ,medicine.drug - Abstract
The usefulness of marijuana has contributed to folklore and medicine for many centuries. The phenanthridine, nantradol culminated our initial efforts to design a potent, nonopiate analgetic. This is a significant finding since previous attempts utilizing a cannabinoid-based phenanthridine nucleus resulted in compounds lacking biologic activity. Several investigators have suggested a role for gammaaminobutyric acid (GABA) in the mediation of morphine analgesia, but the reports have been contradictory. Prostaglandins are well recognized for their ability to produce diarrhea and emesis in man. The availability of levonantradol and dextronantradol has also facilitated the search for alternative, nonprostanoid sites of action. The animal findings of potent analgesia summarized earlier in this chapter have been confirmed in man. Levonantradol has also been studied for the control of emesis induced by cancer chemotherapy. Levonantradol, in common with other GABAergic drugs, also influences the dopaminergic and benzodiazepine systems.
- Published
- 2019
16. Impact of EUV absorber variations on wafer patterning
- Author
-
Ulrich Welling, Tim Fühner, Lawrence S. Melvin, Andreas Frommhold, Yoshitake Shusuke, Yudhishthir Kandel, and Emily Gallagher
- Subjects
Optics ,Materials science ,Optical proximity correction ,Atomic force microscopy ,business.industry ,Extreme ultraviolet lithography ,Wafer ,Sensitivity (control systems) ,business ,Dark field microscopy - Abstract
Mask absorber variations are known to impact wafer imaging. To understand these impacts, absorber variations around SRAF and line-end features are studied on both bright and dark field masks. The primary areas of investigation are SRAF absorber thickness and sidewall angle variation. The working hypothesis was that these two variations are most prevalent in EUV mask absorber processing and could limit EUV imaging. In addition, this study will investigate whether Optical Proximity Correction (OPC) and can compensate for absorber thickness and sidewall variations. AFM data were collected to identify whether qualitative variations between SRAF and main features in the mask absorber were present. Simulations were deployed to quantify the response of wafer images to mask absorber variations. The study found sensitivity to SRAF SWA and thickness variations in the dark field and bright field cases. The study also found that OPC mitigates a large part of the mask SRAF shape variations, if the OPC model includes the quantified variation. Consequently, mask characterization and inclusion in OPC models is needed to reduce model errors.
- Published
- 2019
17. Investigating the effect of ionizing radiation on the acid concentration necessary for dissolution of chemically amplified EUV photoresists
- Author
-
Jonathan Chandonait, Greg Denbeaux, Robert L. Brainard, Sean Gibbons, Steven Grzeskowiak, Ulrich Welling, Jake Kaminsky, Yudhishthir Kandel, and Lawrence S. Melvin
- Subjects
010302 applied physics ,Materials science ,Extreme ultraviolet lithography ,02 engineering and technology ,Electron ,Photoresist ,021001 nanoscience & nanotechnology ,Photochemistry ,01 natural sciences ,Decomposition ,Secondary electrons ,Outgassing ,nervous system ,Resist ,0103 physical sciences ,0210 nano-technology ,Dissolution - Abstract
During the photolithographic process, a photoresist is exposed to EUV photons; it is believed that the secondary low energy electrons generated during this exposure decompose the PAG molecule, producing acid. Regardless of how these secondary electrons are produced, whether by incident electrons or photons, the number of acids produced will lead to a solubility change within the photoresist. The goal of this study is to observe the solubility changing reactions due to low energy electron exposures (approximately 5-80 eV). The reactions occurring in the photoresist are monitored through outgassing measurements during EUV photon exposures, and low energy electron exposures. Outgassing results indicate that PAG decomposition occurs with electrons as low as 4.5 eV, and subsequent deprotection reactions are observed due to the acid generated from the PAG. Without being in the presence of PAG decomposition, deprotection reactions are caused by electron exposures with energies down to at least 15 eV. These deprotections that occur in the absence of PAG decomposition are referred to as direct deprotection reactions. Sentaurus Lithography simulations show that these direct deprotection reactions can affect the resist modeling.
- Published
- 2018
18. Impact of EUV mask absorber sidewall angle on patterning robustness
- Author
-
Weimin Gao, Tim Fühner, Yudhishthir Kandel, and Lawrence S. Melvin
- Subjects
Image formation ,Materials science ,business.industry ,Extreme ultraviolet lithography ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Ray ,010309 optics ,Standing wave ,Optics ,Illumination angle ,Extreme ultraviolet ,0103 physical sciences ,Perpendicular ,0210 nano-technology ,business ,Aerial image - Abstract
Investigations into extreme ultraviolet lithograph (EEUVL) image formation of horizontal (perpendicular to the illumination angle) features have found asymmetric aerial images. This is an expected outcome of the off-axis chief ray angle (CRA)) illumination in the EUV lithography system. The asymmetry arises from interference effects in the mask multilayer stack as well as interactions with the mask absorber. The aerial image arriving at the wafer displays an asymmetric shape, which contributes too a reduced contrast on the dark-side absorber, while the light-side absorber contrast remains sharp. This is not the preferred situation as the low-contrast dark-side can lead to reduced imaging robustness during manufacturing. Preliminary studies show that mask absorber sidewall angle (SWA) impacts pattern formation partially through aerial image asymmetries. The light and dark-side of the absorbers form a standing wave in the gap between them due to absorber side wall reflection and corner scattering. The absorbers’ standing waves further interact with the standing waves from the mask stack. Optimizing the absorber SWA is hypothesized to improve contrast thereby improving patterning robustness. This study investigated the impact of absorber SWA on aerial image shape using simulation. The study was designed to understand if an optimal SWWA exists that improves patterning robustness in a manufacturing environment. CD, contrast, focus response, and other data were gathered and presented to understand the impact of SWA on patterning. From these simulated data, the possibility of an optimum SWA was explored.
- Published
- 2018
19. Extreme ultraviolet mask multilayer material variation impact on horizontal to vertical pattern bias
- Author
-
Weimin Gao, Yudhishthir Kandel, Lawrence S. Melvin, Qiliang Yan, and Artak Isoyan
- Subjects
Optics ,Materials science ,Optical proximity correction ,Stack (abstract data type) ,business.industry ,Extreme ultraviolet ,Extreme ultraviolet lithography ,Reticle ,Wafer ,business ,Absorption (electromagnetic radiation) ,Lithography - Abstract
Multilayer unit thickness variations in the multilayer extreme ultraviolet (EUV) reflector stack pose a difficult problem for generation of lithographic models for use in Optical Proximity Correction (OPC). The multilayer stack is ideally comprised of alternating layers of molybdenum and silicon. However, there are diffused interface regions between these layers that might have slight variations in thickness, reflectance, and absorption. These interface regions can differ within specified parameters based on variations in the deposition tool, resulting in EUV masks with minor differences in the multilayer. This is a difficult problem for OPC models, because slight variations in the multilayer can result in large variations in the feature printed on the wafer. Also, these stack variations are not precisely known for every reticle, rather a sample stack is used to gather data from a cross section of a representative reticle. This study explores the relationship between EUV mask stack reflectivity and horizontal to vertical pattern bias. In this computational study, the MoSi2 thickness is varied at systematic locations in the mask stack, then data on horizontal to vertical bias (H to V bias) for multiple features are gathered. The data will be used to understand the relationship between mask substrate reflectance, mask material thickness, and H to V bias. The study will also investigate the impact of high numerical aperture (0.55 NA anamorphic) imaging on the final H to V bias. Initial work indicates that a 1% variation in substrate reflectance results in approximately a 4% variation in CD.
- Published
- 2018
20. Double patterning at NA 0.33 versus high-NA single exposure in EUV lithography: an imaging comparison
- Author
-
Kevin Lucas, Ryoung-han Kim, Eric Hendrickx, Vicky Philipsen, Weimin Gao, Lawrence S. Melvin, Wolfgang Hoppe, and Vincent Wiaux
- Subjects
Process variation ,Optics ,Materials science ,Resist ,business.industry ,Extreme ultraviolet lithography ,Node (physics) ,Multiple patterning ,business ,Lithography ,Critical dimension ,Numerical aperture - Abstract
As minimum feature size shrinks to a metal pitch of 21 nm, the current extreme ultra violet (EUV) lithographic tool with a numeric aperture (NA) of 0.33 will face resolution limit for some critical layers. High NA (0.55) EUV with anamorphic optics or EUV double patterning (DP) at 0.33 NA are being considered for the next generation of lithographic technology. Both the high NA EUV system and EUV DP will enhance resolution relative to current EUV single patterning (SP). Nevertheless, in order to be able to compare EUV DP and High NA EUV processes, important lithographic factors including image contrast, mask three dimension (M3D) effects, process variation band, stochastic effects and local critical dimension uniformity need to be investigated to understand their contributions to process variations. This study was carried out using rigorous lithographic model simulations in Sentaurus Lithography, where strong M3D effects in EUVL are computed physically. We have simulated patterns with both isomorphic and anamorphic optical proximity corrections (OPC) using the rigorous model. The study focuses on 3nm node Via layer designs. These vias need to connect to metal features which have pitches of 21 nm. Simulation results using 0.33 NA SP, 0.33 NA DP, and 0.55 NA anamorphic SP are presented. The benefit of using an alternative mask absorber and a thinner resist as well as the impact of stochastic effects have also been explored. Although a 0.55 NA EUV is expected to produce a superior image to 0.33 NA EUV and to have less impact from overlay errors and stochastic effects, an analysis of process margins of 0.33 NA EUV SD and DP versus 0.55 NA anamorphic systems helps to better understand the benefits, challenges and optimal insertion point for introducing High-NA EUV.
- Published
- 2018
21. Exposure source error and model source error impact on optical proximity correction
- Author
-
Lawrence S. Melvin, Artak Isoyan, and Chander Sawh
- Subjects
Computer science ,business.industry ,Process (computing) ,Mode (statistics) ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,010309 optics ,Software ,Optics ,Optical proximity correction ,Transmission (telecommunications) ,0103 physical sciences ,Wafer ,0210 nano-technology ,business - Abstract
Previous studies have quantified the impact of source error on wafer CDs in the presence of OPC. The studies found that when a 100% emitting source error is introduced into the OPC model, the corrected mask is minimally impacted through process when small errors are introduced on the source. However, as slightly larger errors are placed on the source used in the OPC mode, catastrophic failures are found. When the same errors are introduced to the exposure source when the mask is corrected with a perfect source, there is a significant through process CD variation in the system but there are no clearly catastrophic failures. The present study continues beyond the initial work to better understand the interaction between source errors and OPC. In this case, partial transmission and zero transmission errors are introduced into the study. The initial study found a CD bias and extra CD variation when the error was located in the transmissive area for the source error case. As the result of a previous study, these effects are thought to be due to scattered background illumination and pattern shift, respectively. These effects were not as readily observed in the mask error case. This study looks at the interaction of different errors in the source during both exposure and OPC generation to better understand the effects of source errors on the final pattern. A resulting analysis of study is presented. The analysis explains whether scattered background illumination and pattern shift are the mechanisms of the source effects. This can be concluded if the same effects can be generated in the mask error case using various source errors. The software methodology used to execute these studies is presented in detail.
- Published
- 2017
22. Correlation of experimentally measured atomic scale properties of EUV photoresist to modeling performance: an exploration
- Author
-
Yudhishthir Kandel, Gregory Denbeaux, Steven Grzeskowiak, Jonathan Chandonait, Qiliang Yan, Lawrence S. Melvin, Sajan Marokkey, and Benjamin D. Painter
- Subjects
010302 applied physics ,Photon ,business.industry ,Extreme ultraviolet lithography ,02 engineering and technology ,Photoresist ,021001 nanoscience & nanotechnology ,01 natural sciences ,Atomic units ,Optics ,Resist ,Optical proximity correction ,Extreme ultraviolet ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,Lithography - Abstract
Extreme ultraviolet (EUV) lithography at 13.5 nm stands at the crossroads of next generation patterning technology for high volume manufacturing of integrated circuits. Photo resist models that form the part of overall pattern transform model for lithography play a vital role in supporting this effort. The physics and chemistry of these resists must be understood to enable the construction of accurate models for EUV Optical Proximity Correction (OPC). In this study, we explore the possibility of improving EUV photo-resist models by directly correlating the parameters obtained from experimentally measured atomic scale physical properties; namely, the effect of interaction of EUV photons with photo acid generators in standard chemically amplified EUV photoresist, and associated electron energy loss events. Atomic scale physical properties will be inferred from the measurements carried out in Electron Resist Interaction Chamber (ERIC). This study will use measured physical parameters to establish a relationship with lithographically important properties, such as line edge roughness and CD variation. The data gathered from these measurements is used to construct OPC models of the resist.
- Published
- 2017
23. Modeling EUVL patterning variability for metal layers in 5nm technology node and its effect on electrical resistance
- Author
-
Weimin Gao, Itaru Kamohara, Eric Hendrickx, Lawrence S. Melvin, Victor Blanco, Vincent Wiaux, Ivan Ciofi, R. H. Kim, Yves Saad, and Vicky Philipsen
- Subjects
010302 applied physics ,Interconnection ,Materials science ,business.industry ,Extreme ultraviolet lithography ,01 natural sciences ,010309 optics ,Process variation ,Optics ,Electrical resistance and conductance ,Optical proximity correction ,0103 physical sciences ,Wafer ,Node (circuits) ,business ,Critical dimension - Abstract
In 5nm node, even minor process variation in extreme ultraviolet lithography (EUVL) can bring significant impact to the device performance. Except for the overlay and critical dimension uniformity (CDU), EUV specific effects, such as shadowing, three-dimensional mask effect (M3D), and stochastic effects, must also be understood in processing, modeling, and optical proximity correction (OPC). We simulate those variabilities using a calibrated model and compare it to what is observed on the wafer. The interconnect path of Metal1-Via1-Metal2 is studied by using a silicon-calibrated resistivity model to analyze the related overlap area and the electrical resistance. The approach allows us to quantify the impact of EUVL process by investigating the individual contribution of each patterning process variations.
- Published
- 2017
24. Source defect impact on pattern shift
- Author
-
Lawrence S. Melvin, Chander Sawh, and Artak Isoyan
- Subjects
Image formation ,Pixel ,business.industry ,Computer science ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,010309 optics ,Optics ,Transmission (telecommunications) ,Optical proximity correction ,0103 physical sciences ,Computer vision ,Wafer ,Artificial intelligence ,0210 nano-technology ,business ,Focus (optics) - Abstract
Most pattern shift analysis discussions focus on the accuracy of the Optical Proximity Correction (OPC) model that forms the pattern contours, while the OPC model’s source itself is considered as a constant input to the model. In reality, the source might have defects or contaminations that can impact the image formation and possibly introduce asymmetrical pattern formation behavior. Initial studies have quantified the impact of source defects on wafer CDs in the presence of OPC [1]. These studies have found that when source defects are present in the OPC model CD variation, NILS impact, MEEF impact, and pattern shifts might occur. Empirical studies and data have shown that the severity of defects are proportional to the impact on final pattern formation. However, it should also be noted that optical proximity correction schemes have been found to be a robust ally in countering the aforementioned defects in imaging. This study is a continuation of the previous work of source imperfection impacts on optical proximity correction to better understand the interaction between source defects and pattern shift during mask synthesis. Two variations of the study are executed: the first variation is the mask error case where random intensity variations are introduced in the pixelated source and an OPC model is created, then the corrected pattern is imaged with an ideal source. The second variation is the exposure error case where the OPC correction is performed with an ideal source, then exposed with a random defect in the manufacturing source. For both cases a pixel transmission variation is introduced in pixelated source using 11 various pixel selection methodology. Each experiment for the mask and exposure defects are conducted five times. This aims to quantify the effects on pattern uniformity while assuming defects in source manufacturing. This also allows you to better understand the limitation of scanner systems that might not be able to 100% represent the source pixels that were created during an aggressive Source Mask Optimization (SMO) session. Detailed analysis and studies are conducted to quantify the source defects impact on pattern formation.
- Published
- 2017
25. Compact modeling for the negative tone development processes
- Author
-
Jason Huang, Fred Kuo, and Lawrence S. Melvin
- Subjects
Diffraction ,Computer science ,business.industry ,Process (computing) ,law.invention ,Image (mathematics) ,Tone (musical instrument) ,Optics ,Optical proximity correction ,law ,Electronic engineering ,Photolithography ,Representation (mathematics) ,business - Abstract
When the negative tone development (NTD) process was introduced into photolithography, it brought fidelity improvement with it. However, the NTD process behaves in a manner that is not readily comprehended by the computational techniques used to create high-speed photolithography models for use in the Optical Proximity Correction (OPC) process. These effects are mechanical in nature and are not governed by the diffraction phenomena used to create high speed process models. This study will discuss an attempt to utilize the high speed OPC model methods to deliver an accurate representation of the NTD process. This paper will discuss a compact modeling flow for NTD processes. The flow works to emulate first principle modeling techniques for NTD in an OPC model. This is accomplished through a combination of the new mechanical methods and traditional Dill’s parameters. It also reduces the data volume required to generate the OPC model. The models generated using this method accurately represent NTD SEM image contours. The results will be demonstrated and discussed.
- Published
- 2017
26. Study of etching bias modeling and correction strategies for compensation of patterning process effects
- Author
-
Philip C. W. Ng, Kuen-Yu Tsai, and Lawrence S. Melvin
- Subjects
Process modeling ,Computer science ,Process (computing) ,Lithography process ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Compensation (engineering) ,Optical proximity correction ,Control theory ,Etching (microfabrication) ,Distortion ,Hardware_INTEGRATEDCIRCUITS ,Electrical and Electronic Engineering ,Lithography - Abstract
In addition to simulating lithography process effects, process models must accommodate pattern distortion due to the etching process. An etching bias modeling method and a staged correction strategy have been developed to compensate for such patterning process effects efficiently. However, the staged correction strategy may cause inaccurate compensation of patterning process effects since the patterns used to simulate etching process effects are assumed to be rectilinear. In fact, the patterns will be distorted during the lithography process. Therefore, a promising correction strategy that incorporates a recently developed optical proximity correction algorithm is proposed to deal with this problem. It can compensate for lithography and etching process effects simultaneously. In order to conduct this study, the etching bias modeling method is investigated by rigorous process simulations. The resulting model provides a reasonable fit to the measured data from the process simulations and can simulate etching process effects reasonably well. The performance of the proposed correction strategy in terms of correction accuracy and run time is examined. Numerical experiments show that the correction accuracy obtained is significantly improved compared with that obtained by the staged correction strategy. However, the total run time required is increased by a factor of ~2.5, which is practically acceptable for full-chip correction.
- Published
- 2013
27. Building bulk-resist model for image formation in chemically amplified resists at EUV
- Author
-
Lawrence S. Melvin, Thomas Schmoeller, Piyush Pathak, Ebo Croffie, and Qiliang Yan
- Subjects
Image formation ,Post exposure ,business.industry ,Chemistry ,Extreme ultraviolet lithography ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Optics ,Kernel (image processing) ,Optical proximity correction ,Resist ,Extreme ultraviolet ,Electrical and Electronic Engineering ,business ,Critical dimension - Abstract
With the shrinkage of feature sizes, there is a need for bulk-resist models to evaluate resist performance in process simulators. This study investigates the sources of blur during image formation in chemically amplified resist (CAR) for extreme ultraviolet (EUV) exposure. It evaluates the acid generation blur due to photo acid generator (PAG) activation before post exposure bake (PEB) and the de-protection blur during PEB due to diffusion-reaction coupling of generated acids in the resist. The acid generation bulk-resist model is derived from the molecular formulation of resists [T. Kozawa, A. Saeki, S. Tagawa, Appl. Phys. Exp. 1 (2008) 027001]. While, the PEB de-protection blur is obtained from a chemical kinetics model [F.A. Houle, et. al. J. Vac. Sci. Technol. B19 (2000) 1874]. These derived bulk-resist models depend largely on the experimental data for obtaining resist-blur (kernel) parameters. In order to present the complete image formation in resist, an optimization model is proposed to build the kernel functionality and extract the kernel parameters using EUV critical dimension (CD) data on the test pattern.
- Published
- 2009
28. Modelling strategies for the incorporation and correction of optical effects in EUVL
- Author
-
Qiliang Yan, Artak Isoyan, Thomas Schmoeller, Piyush Pathak, Ebo Croffie, and Lawrence S. Melvin
- Subjects
Image formation ,Chemistry ,business.industry ,Extreme ultraviolet lithography ,Condensed Matter Physics ,Integrated circuit layout ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Optics ,Optical proximity correction ,law ,Optical transfer function ,Optoelectronics ,Electrical and Electronic Engineering ,Photolithography ,business ,Lithography ,Aerial image - Abstract
Extreme ultraviolet lithography (EUVL) is a leading candidate for the 22nm node lithography and beyond. However, there are still some critical problems before EUVL may be deployed in high-volume manufacturing. One of the critical problems is to estimate the EUVL aerial image formation for optical proximity correction (OPC) in order to compensate for EUVL effects such as shadowing and flare. This study discusses aerial image formation through modeling of optical transfer function to assimilate optical diffraction, long range layout dependent flare effects, and shadowing effects due to non-telecentric imaging optics in the EUV case. Hence, after optimizing optical process parameters to model the EUV aerial image, this study will investigate OPC modeling methods employed to compensate these optical effects in the mask design flow.
- Published
- 2009
29. Capillary driven flow in micro scale surface structures
- Author
-
Santiago Rodriguez, Lawrence S. Melvin, Mark M. Weislogel, Yongkang Chen, and Donald Bell
- Subjects
Microelectromechanical systems ,Surface (mathematics) ,Materials science ,Scale (ratio) ,Capillary action ,Flow (psychology) ,Analytical chemistry ,Mechanics ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Capillary number ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Physics::Fluid Dynamics ,Deep reactive-ion etching ,Electrical and Electronic Engineering ,Porosity - Abstract
A variety of micro scale surface wicking structures that form open/covered post surfaces and open channels are investigated to probe the effect of the geometry, inter-connectivity, and surface porosity on the wicking efficiency of such surfaces. The surfaces are examined using a capillary rise flow experiment and the study is focused on the Lucas-Washburn flow regime in which capillary forces balance viscous forces.
- Published
- 2009
30. Abbe singular-value decomposition: Compact Abbe’s kernel generation for microlithography aerial image simulation using singular-value decomposition method
- Author
-
Lawrence S. Melvin, Jen-Jer Hong, Ahmet Gürhanlı, Charlie Chung Ping Chen, and Tse-Yu Chiang
- Subjects
business.industry ,Condensed Matter Physics ,Source field ,Singular value ,Optics ,Compact space ,Kernel (image processing) ,Optical proximity correction ,Singular value decomposition ,Electrical and Electronic Engineering ,Coefficient matrix ,business ,Algorithm ,Aerial image ,Mathematics - Abstract
Abbe’s method and Hopkin’s method are among the most popular microlithography aerial image simulation methods. In particular, Hopkin’s method is generally more popular for the high speed aerial image simulation domain, and it is used in model-based optical proximity correction. This is due to a general perception that Hopkin’s method can generate more compact sets of kernels compared with Abbe’s method, due to the application of a singular-value decomposition (SVD) process to Hopkin’s large transmission cross coefficient matrix. On the other hand, the primitive Abbe’s method is very simple, since it only needs to decompose the source field into independent point sources with a two-dimensional partitioning criteria. Albeit its simplicity, compared with Hopkin’s method, in general, Abbe’s method, generates a larger set of kernels. In this article the authors propose applying SVD to the original Abbe’s kernels, the essential kernels according to their singular values. Experimental results show that the algorithm, the Abbe-SVD method, accomplishes over 68 times of both runtime and memory saving over the traditional Hopkin’s SVD method for kernel generation.
- Published
- 2008
31. Exploration of etch step interactions in the dual patterning process for process modeling
- Author
-
Staf Verhaegen, Mireille Maenhoudt, Vincent Wiaux, Sang Uhk Rhie, Lawrence S. Melvin, Brian Ward, Kevin Lucas, and Hua Song
- Subjects
Process modeling ,Optical proximity correction ,Computer science ,Etching (microfabrication) ,Reticle ,Multiple patterning ,Process (computing) ,Nanotechnology ,Node (circuits) ,Electrical and Electronic Engineering ,Photoresist ,Condensed Matter Physics - Abstract
Double patterning is a manufacturing process targeted for the 22nm half pitch manufacturing node that harbors strong potential for reaching high volume manufacturing. The double patterning process requires twice as many manufacturing steps for a device layer as are required for a traditional single reticle device layer. However, the double patterning process does have a direct interaction between the individually processed layers at the final etch processing step. Current optical proximity correction process modeling capabilities can be designed to account for the interactions between these two processes. However, it is unclear at this time whether or not real process differences are present due to an interaction between the two patterns in double patterning. It is also not certain if proximity effects play a role in the process. This study will use currently available data from the IMEC double patterning process to determine if there is a significant interaction between the photoresist and hardmask patte...
- Published
- 2008
32. Sub-resolution Assist Feature Modeling for Modern Photolithography Process Simulation
- Author
-
Lawrence S. Melvin and Jianliang Li
- Subjects
Physics and Astronomy (miscellaneous) ,Computer science ,General Engineering ,General Physics and Astronomy ,Approximation algorithm ,Work in process ,Line edge roughness ,law.invention ,law ,Robustness (computer science) ,Measurement uncertainty ,Feature modeling ,Photolithography ,Critical dimension ,Algorithm - Abstract
In modern photolithography, as the feature size becomes smaller and smaller, it becomes more and more popular to include sub-resolution assist features (SRAFs) to improve the robustness of the lithography process. Hence, it is vital to simulate the process precisely with SRAFs placement. However, for computational reasons, it is necessary to model features with SRAFs placed using specially developed approximation algorithms. This need arises because of the inherent differences between SRAFs and main features. First, SRAFs are usually hard to verify physically because of their small sizes and, therefore, there is relatively large mask measurement uncertainty on the layout of SRAFs compared to main features. Second, unlike the well-defined main features, the shape of SRAFs is relatively poor, e.g., line edge roughness and critical dimension (CD) variation and, hence, the effective transmission of SRAFs may not be the same as for the main features. Third, the thickness of the mask is comparable to the feature sizes of SRAFs and the three-dimensional (3D) mask effect may play an important role in process simulation. In wafer measurements, the data are usually influenced by all the above effects, and it is practically impossible to identify them individually. In this study we propose a lumped treatment specially designed for SRAFs. The results show significant improvement in the simulation accuracy and reduce the RMS of fitting errors down to the sub-nanometer level for all features with or without placed SRAFs.
- Published
- 2008
33. Flare mitigation strategies in extreme ultraviolet lithography
- Author
-
Alan Myers, Rik Jonckheere, Brian Ward, Lawrence S. Melvin, Insung Kim, A.M. Goethals, Gian Francesco Lorusso, and Kurt G. Ronse
- Subjects
Computer science ,business.industry ,Extreme ultraviolet lithography ,Measure (physics) ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Reliability engineering ,Compensation (engineering) ,law.invention ,Optics ,Optical proximity correction ,law ,Electrical and Electronic Engineering ,Photolithography ,business ,Critical dimension ,Flare - Abstract
This study investigates various approaches to flare mitigation in EUVL. We evaluate the effectiveness of rule-based correction by defining a design where the critical dimension uniformity is used as a measure of the quality of the correction. We also describe the outcome of a model-based correction and the limits of this approach. Finally, we discuss the calculation of accurate full-chip flare maps which are required to implement a rule-based solution. Our results clearly indicate that it is possible to implement an effective flare variation compensation using rule-base correction with current EDA technology, provided that highly accurate full-chip flare maps having the required resolution are available.
- Published
- 2008
34. Design, fabrication, and testing of microporous wicking structure
- Author
-
Ryan Jenson, Mark M. Weislogel, Paul F. Nealey, Scott Dhuey, Lawrence S. Melvin, and Yongkang Chen
- Subjects
Microelectromechanical systems ,Materials science ,Temperature control ,Fabrication ,business.industry ,Passive cooling ,Mechanical engineering ,Microporous material ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Water cooling ,Microelectronics ,Deep reactive-ion etching ,Electrical and Electronic Engineering ,business - Abstract
Wicking structures based on interior corner geometry as the fundamental transport mechanism have been studied in microgravity environments. These structures provide for highly efficient passive transport that can be exploited in advanced two-phase passive cooling systems for applications in areas such as thermal control for microelectronics. Several pilot designs have been manufactured and tested and their performance is reported.
- Published
- 2008
35. A 45° dual dipole decomposition scheme to improve image fidelity
- Author
-
Abani Biswas, Jianliang Li, Lawrence S. Melvin, Benjamin D. Painter, and Jay A. Hiserote
- Subjects
Interconnection ,Horizontal and vertical ,Orientation (computer vision) ,Computer science ,business.industry ,Acoustics ,Integrated circuit ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Dipole ,Optics ,law ,Electrical and Electronic Engineering ,Photolithography ,Focus (optics) ,business ,Aerial image - Abstract
Dipole exposure techniques are currently being explored as alternatives to the low k1 problem that hinders the manufacture of sub 100nm logic technology. Recently, there has been a great deal of interest in using a combination of vertical and horizontal dipole exposures capable of producing manufacturing-robust image results for Manhattan features. In this technique, a design is split into two complementary masks that have enhanced contrast at a given dipole imaging condition. The dipole is a strong case of off-axis illumination, and significant resolution enhancement can be achieved, but only for one pattern direction. Complementary dipole exposures are required when dense pitches in horizontal and vertical direction are present. Dipole decomposition approaches that separate vertical and horizontal features in a layout are based on the fact that horizontal features form an improved aerial image with a vertical dipole illumination, and vertical features show the same aerial image improvement with a horizontal dipole illumination. This technique, though conceptually simple, requires a computer algorithm to decompose a design layout into two patterns consisting of features oriented mainly in the horizontal and vertical directions. Some layout features, landing pads or angled lines for example, cannot easily be assigned to a particular mask based on this simple orientation logic. In this work a new approach has been presented in which 45^o decomposition of the pattern is used to improve image contrast, pattern fidelity and focus behavior. The results will be analyzed in terms of contrast, pattern fidelity and focus dependence in order to determine the feasibility of printing manhattan and angled features using a dual dipole approach for sub 100nm processes.
- Published
- 2007
36. Improvement of model kernel representation in process simulation by taking pattern correlation into account
- Author
-
Qiliang Yan, Lawrence S. Melvin, Jianliang Li, and Abani Biswas
- Subjects
Calibration (statistics) ,business.industry ,Computation ,Sampling (statistics) ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Optics ,Optical proximity correction ,Electrical and Electronic Engineering ,Constant (mathematics) ,business ,Representation (mathematics) ,Algorithm ,Aerial image ,Eigenvalues and eigenvectors ,Mathematics - Abstract
In the modern photolithography simulation, the computation demand on resolution enhancement techniques (RETs) and optical proximity corrections (OPCs) is proportional to the simulation runtime of the model, which is dependant on the number of the kernels retained with the constrain of the model accuracy. Thus, it is essential to retain as few kernels as possible in the model calibration. Traditionally, the kernels are retained based upon their contribution to the aerial image, which is solely determined by the magnitudes of the eigenvalues. This method works well for arbitrary photolithography masks. However, real masks are never arbitrary and random. Instead, they have regular shapes and arrangements as governed by design rules, indicating the contributions from the retained kernels are statistically correlated to each other. By taking such correlations into account, the system representation can be improved to contain fewer kernels for a constant model accuracy. In this paper, the mathematical derivation of the pattern correlation concept is discussed and the concept is applied to a contact layer illuminated by a Quasar optical system with @l=193nm and NA=0.8. Significant improvement of model kernel representation is observed, four improved kernels vs 15 original kernels, and the new methodology is justified by comparing the difference of the aerial image intensities between the full kernel representation and the retained kernels representation at sampling points.
- Published
- 2007
37. Lithographic manufacturing robustness analysis for as drawn patterns
- Author
-
William F. Kielhorn, Lawrence S. Melvin, and Qiliang Yan
- Subjects
Finite-state machine ,Threshold limit value ,Computer science ,Pattern analysis ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Optical proximity correction ,Robustness (computer science) ,law ,Spatial frequency ,Electrical and Electronic Engineering ,Photolithography ,Lithography ,Algorithm - Abstract
A new pattern analysis concept, referred to as a focus sensitivity model, has one output I"@D that identifies pattern components that are difficult to manufacture during photolithography. I"@D uses the change in modelled intensity with respect to defocus to rapidly determining if a pattern can be robustly manufactured. This paper will demonstrate that the I"@D model output can also be used on patterns without OPC to identify the same manufacturing characteristics found on a pattern that incorporates OPC. This is demonstrated by showing that OPC makes minor changes to the high spatial frequency components of a pattern. Current results show the I"@D analysis of a pattern that has OPC and the same pattern with no OPC is nearly qualitatively identical. The quantitative differences between the two outputs can be removed by changing the I"@D threshold value that is used to quantify pattern quality. However, the spatial frequency changes generated by other RET such as SRAFs is too large and non-linear to be compensated for with a simple change in the I"@D trigger threshold. The ability to remove the OPC correction set from pattern robustness analysis provides a significant advantage; even with the need to use other RET during robustness analysis.
- Published
- 2006
38. Modeling of nanolithography processes
- Author
-
Lawrence S. Melvin and Artak Isoyan
- Subjects
Nanolithography ,Materials science ,Mathematical model ,business.industry ,Computation ,Design pattern ,Extreme ultraviolet lithography ,Electronic engineering ,Microelectronics ,Wafer ,business ,Lithography - Abstract
Mathematical models of semiconductor processes are heavily used within the microelectronics manufacturing industry. They are used to design and understand molecular-level effects, comprehend and develop local patterning processes, and modify the design pattern to better image the desired pattern on a wafer. To accomplish these tasks, different model types are developed including molecular models, bulk models, and compact models. All models and model applications must resolve the interaction between the competing effects of model computation time and model accuracy. This chapter discusses the basics of mathematical models used for optical, EUV, and e-beam lithography modelings.
- Published
- 2014
39. 3-Substituted-4-hydroxy-7-chromanylacetic acid derivatives as antagonists of the leukotriene B4 (LTB4) receptor
- Author
-
Geraldine L. Crean, R. Breslow, John J. Martin, Maryrose J. Conklyn, Cathy A. Farrell, G. Todd Miller, M. S. Biggers, Hada William Andrew, Henry J. Showell, Kevin Koch, Ellen R. Laird, John B. Cheng, Lawrence A. Reiter, Lawrence S. Melvin, and Joanne S. Pillar
- Subjects
Leukotriene ,Leukotriene D4 ,Chemistry ,Leukotriene B4 ,Organic Chemistry ,Clinical Biochemistry ,Pharmaceutical Science ,Chemotaxis ,respiratory system ,Biochemistry ,In vitro ,chemistry.chemical_compound ,Drug Discovery ,Molecular Medicine ,Chromane ,lipids (amino acids, peptides, and proteins) ,Antagonism ,Receptor ,Molecular Biology - Abstract
The SAR of a series of 7-chromanylacetic acids has been investigated with the aim of identifying potent and selective LTB4 receptor antagonists. We found optimal activity in derivatives with c~,c~-disubstitution on the acetic acid and a C-4 hydroxy group and a C-3 lipophilic group on the chromane ring. CP-105696 (43), which contains a 4-phenylbenzyl C-3 substituent, was selected for development. ~ 1997 Elsevier Science lad. LTB4 is a potent chemoattractant for granulocytes (e.g., neutrophils and eosinophils) and stimulates functional responses such as secretion and cytokine synthesis in these cells as well as in mononuclear cells and lymphocytes. Its presence in relevant tissues has implicated it to be a likely mediator in a number of inflammatory diseases such as rheumatoid arthritis, inflammatory bowel disease, psoriasis, and asthma. Receptor antagonists of LTB4 are thus expected to be useful therapeutics tbr these diseases. To date, compounds from several structural classes have been discovered to display LTB4 antagonismJ During the course of our investigations on leukotriene D4 antagonists, we observed that certain chromanol derivatives displayed modest LTB4 antagonism in addition to LTD4 antagonism. We also noted that of all the NSAIDs examined, only the propionic acid class showed any significant parallel between their ability to block (3H) LTB4 binding to high affinity receptors on guinea pig spleen membranes and their ability to inhibit LTB4-induced human neutrophil chemotaxis} These observations led us to prepare hybrid molecules with the aim of identifying potent and selective LTB4 antagonists. Accordingly, the propionic acid derivatives 1
- Published
- 1997
40. Compact OPC model optimization using emulated data
- Author
-
Thomas Mülders, Craig Westwood, Lawrence S. Melvin, and Artak Isoyan
- Subjects
Set (abstract data type) ,Optical proximity correction ,Physics::Instrumentation and Detectors ,Computer science ,Noise (signal processing) ,Calibration ,Wafer ,Lithography ,Throughput (business) ,Simulation ,Finite element method ,Metrology - Abstract
In this work compact optical proximity correction (OPC) model optimization methodology is presented. The methodology requires less measured empirical (wafer) metrology data for model calibration than conventional approaches, but still enables successful compact OPC model building which can be extrapolated to various process conditions within a focus-exposure matrix (FEM). In order to ensure compact modeling success, a rigorous modeling technique is incorporated in the modeling flow for generation of additional emulated data. The emulated data, along with original empirical data, are used in the compact OPC model optimization process. The presented methodology couples rigorous and compact modeling, to reduce both need of large quantities of empirical data collected from test wafers, and metrology noise impact on model calibration processes, and as well as increases accurate and predictable compact models throughput. Initial tests have shown that by using 5x less empirical data, the presented methodology results a compact OPC model which is in excellent agreement with a model that had been calibrated using the full empirical data set.
- Published
- 2013
41. Synthesis of 14C isotopic isomers of tenidap-A novel antiinflammatory agent
- Author
-
Diane Lynn Johnson, Fred C. Falkner, Lawrence S. Melvin, Ralph P. Robinson, and Frank W. Rusek
- Subjects
Indole test ,Organic Chemistry ,Biochemistry ,Medicinal chemistry ,Enol ,Chemical synthesis ,Analytical Chemistry ,chemistry.chemical_compound ,chemistry ,Yield (chemistry) ,Drug Discovery ,medicine ,Lactam ,Organic chemistry ,Radiology, Nuclear Medicine and imaging ,Specific activity ,Tenidap ,Methylene ,Spectroscopy ,medicine.drug - Abstract
Two isotopic isomers of tenidap, a novel antiinflammatory agent, were prepared. Compound 6 (specific activity = 10.24 mCi/mmol), having 14 C in the indole ring, was prepared in three steps (52% overall yield) starting from 1H-[ 14 C]indole-2,3-dione. Compound 11 (specific activity = 57.16 mCi/mmol, radiochemical purity = 99.0%), with 14 C in the C-3 methylene, was prepared in two steps (66% overall yield) beginning with 2-thiophenecarboxylic-[14C-carbonyl] acid.
- Published
- 1996
42. LTD4 Receptor binding activity of novel pyridine chromanols: qualitative correlation with pKa
- Author
-
Lawrence S. Melvin, Jeanene E. Tickner, John B. Cheng, J.T. Shirley, Frank W. Rusek, Anthony Marfat, James F. Eggler, and Hiroko Masamune
- Subjects
LTD4 receptor ,Stereochemistry ,Organic Chemistry ,Clinical Biochemistry ,Quinoline ,Pharmaceutical Science ,Ring (chemistry) ,Biochemistry ,chemistry.chemical_compound ,chemistry ,Drug Discovery ,Pyridine ,Molecular Medicine ,Molecular Biology - Abstract
A series of pyridine chromanols were synthesized and evaluated as LTD 4 -antagonists (LTD 4 -A). The quinoline sidechain of this class of such agents, as exemplified by REV-5901, has until now been deemed as essential for potent activity. However, by manipulating substituents on a pyridine ring, quinoline-like potency can be achieved. The results indicate that this is a function of pKa.
- Published
- 1995
43. The discovery of CP-96,021 and CP-96,486, balanced, combined, potent and orally active leukotriene D4 (LTD4)/platelet activating factor (PAF) receptor antagonists
- Author
-
A. Marfat, J. W. Watson, Kelvin Cooper, David B. Damon, James F. Eggler, Lawrence S. Melvin, Hiroko Masamune, John B. Cheng, J. Delehunt, and R. J. Chambers
- Subjects
Leukotriene D4 ,Platelet-activating factor ,medicine.drug_class ,Organic Chemistry ,Clinical Biochemistry ,Pharmaceutical Science ,respiratory system ,Pharmacology ,Receptor antagonist ,Biochemistry ,chemistry.chemical_compound ,Orally active ,chemistry ,Drug Discovery ,medicine ,Molecular Medicine ,lipids (amino acids, peptides, and proteins) ,Pharmacophore ,Receptor ,Molecular Biology - Abstract
The combination of key structural pharmacophores found in known leukotriene D4 (LTD4) receptor antagonists with those of potent platelet activating factor (PAF) receptor antagonist UK-74,505 has led to the synthesis of hybrid compounds CP-96,021 and CP-96,486. These compounds represent the first known balanced, combined and orally active LTD4/PAF receptor antagonists.
- Published
- 1995
44. Synthesis and pharmacological profile of two novel heterocyclic chromanols, CP-80,798 and CP-85,958, as potent LTD4 receptor antagonists
- Author
-
G.W. Antognoli, J.T. Shirley, A. Marfat, C.F. Wright, Thomas J. Carty, Frank W. Rusek, J. W. Watson, J. Delehunt, B. A. Naclerio, James F. Eggler, J.S. Pillar, Herbert Sherman, E.G. Andrews, Francis J. Sweeney, K. W. Freiert, R. Breslow, C. J. Mularski, V. L. Cohan, C J Pazoles, Lawrence S. Melvin, L.A. Rappach, John B. Cheng, David B. Damon, Jeanene E. Tickner, Judith L. Collins, P. Reiche, Hiroko Masamune, M. P. Carta, James D. Eskra, Hada William Andrew, and R. J. Chambers
- Subjects
LTD4 receptor ,Chemistry ,Organic Chemistry ,Clinical Biochemistry ,Drug Discovery ,Antagonist ,Pharmaceutical Science ,Molecular Medicine ,Pharmacology ,Receptor ,Molecular Biology ,Biochemistry ,First generation - Abstract
The development of two novel LTD 4 receptor antagonists as clinical candidates for the treatment of asthma is described. The first generation compound, CP-80,798, was found to be a balanced 5-lipoxygenase inhibitor (5-LOI)/LTD 4 antagonist (LTD 4 -A), while the second generation compound, CP-85,958, is a selective LTD 4 antagonist.
- Published
- 1995
45. Synthesis and in vitro profile of 7-substituted quinoline chromanols as novel, non-acidic LTB4 antagonists
- Author
-
Hiroko Masamune, J.T. Shirley, A. Marfat, John B. Cheng, Henry J. Showell, James F. Eggler, J.S. Pillar, Maryrose J. Conklyn, Jeanene E. Tickner, Lawrence S. Melvin, and R. Breslow
- Subjects
chemistry.chemical_compound ,Chemistry ,Organic Chemistry ,Clinical Biochemistry ,Drug Discovery ,Quinoline ,Pharmaceutical Science ,Molecular Medicine ,Pharmacology ,Molecular Biology ,Biochemistry ,Combinatorial chemistry ,In vitro - Abstract
The development of novel LTB 4 antagonists from a class of quinolylmethyl LTD 4 antagonists is described. These α-methyl quinolylmethyl chromanols were found to have good vitro activity.
- Published
- 1995
46. Binary modeling method to check the sub-resolution assist features (SRAFs) printability
- Author
-
Yongfa Fan, Jianliang Li, Weimin Gao, Kevin Lucas, Jing Xue, Lawrence S. Melvin, Peter De Bisschop, and Qiliang Yan
- Subjects
Computer science ,business.industry ,Process (computing) ,law.invention ,Optical proximity correction ,Feature (computer vision) ,law ,Margin (machine learning) ,Hardware_INTEGRATEDCIRCUITS ,Calibration ,Computer vision ,Wafer ,Artificial intelligence ,Photolithography ,business - Abstract
As modern photolithography feature sizes reduce, the use of sub-resolution assist features (SRAFs) to improve the manufacturing process window has become more prevalent. Beyond the assist features placement based on rules, a model based assist feature (MBAF) flow is needed to optimize the shape and the size of SRAFs, so that the process margin of the main features (MFs) is maximized. In the MBAF flow, a vital component is to build an accurate model that specifically checks the printability of SRAFs, which are supposed to leave no trace on wafer. Compared to the traditional optical proximity correction (OPC) model, the SRAF printability check model faces extra challenges, for example, the small size of SRAFs makes their direct transfer to the mask pattern more difficult, the SRAFs are usually not measurable on wafer and the worst-case SRAFs printability is typically at off-nominal conditions. In this paper, we propose an innovative binary modeling method for SRAF printability check model, which does not require the measurement of SRAFs' size on wafer and yet provides accurate prediction of SRAFs printing on wafer. In this modeling method, the binary determination of whether an SRAF prints/does not print (i.e., clean) on wafer was acquired by inspecting the SEMs taken from real wafer measurements. Then the local extrema of the signal intensity around the SRAFs was simulated and used to classify print/clean groups of SRAFs, and a special cost function was designed to separate the print SRAFs and clean SRAFs as much as possible during model calibration.
- Published
- 2012
47. Conformational Analysis of the Prototype Nonclassical Cannabinoid CP-47,497, Using 2D NMR and Computer Molecular Modeling
- Author
-
De-Ping Yang, Lawrence S. Melvin, Xiang-Qun Xie, and Alexandros Makriyannis
- Subjects
Models, Molecular ,Magnetic Resonance Spectroscopy ,Molecular model ,Bicyclic molecule ,Cannabinoids ,Chemistry ,Stereochemistry ,Cyclohexane conformation ,Molecular Conformation ,Nuclear Overhauser effect ,Dihedral angle ,Cyclohexanols ,Ring (chemistry) ,Drug Discovery ,Side chain ,Molecular Medicine ,Computer Simulation ,Two-dimensional nuclear magnetic resonance spectroscopy - Abstract
In an effort to determine the stereochemical requirements for pharmacological activity among the series of nonclassical cannabinoids synthesized at Pfizer, we have studied the conformational properties of the parent bicyclic analog CP-47,497. For this study, we have used a combination of solution NMR and theoretical computational approaches. The energetically favored conformation has the phenolic ring almost perpendicular to the cyclohexanol ring which exists in a chair conformation. The OH bond of the phenol is preferentially coplanar with the aromatic ring and points toward the C2 ring proton, while the dimethylheptyl side chain adopts a conformation almost perpendicular to the aromatic ring. The conformational features of this nonclassical cannabinoid analog closely resemble those of its classical counterparts. The only apparent difference is the small dihedral angle (psi 1 = 62 degrees) between the planes of the two rings of CP-47,497 compared to that of the tricyclic tetrahydro- or hexahydrocannabinol analogs (psi 1 = 137 degrees). However, CP-47,497 can be perfectly superimposed over the respective tricyclic analog by rotation around the Ph-cyclohexyl bond (C6-C7 bond) and assume a conformation which is energetically higher than the preferred one by 3.0 kcal/mol. It can be argued that such a conformation may be acquired by the nonclassical analog during its interaction with the active site.
- Published
- 1994
48. Hierarchical kernel generation for SMO application
- Author
-
Jason Hsih-Chie Chang, Charlie Chung-Ping Chen, and Lawrence S. Melvin
- Subjects
Resolution enhancement technologies ,Computer engineering ,Kernel (image processing) ,Pixel ,Computer science ,Real-time computing ,Hardware_INTEGRATEDCIRCUITS - Abstract
Resolution enhancement technologies (RETs) are so far widely proposed in improving the quality of micro-lithography process. Latest method such as source mask optimization (SMO) is gaining popularity recently. Therefore, high speed simulator is in strong demand for growing computational complexity of RETs. In this work, we demonstrate that our Abbe-PCA method is highly efficient for source configuring and mask tuning using hierarchical pixel-based OPC.
- Published
- 2011
49. Structure of 3,4-dihydroxy-2-thiophenecarboxylic acid ethyl ester in the crystal and solution states
- Author
-
Lawrence S. Melvin, Frank W. Rusek, Hada William Andrew, and Jon Bordner
- Subjects
Decarboxylation ,Organic Chemistry ,Diol ,Nuclear magnetic resonance spectroscopy ,Crystal structure ,Biochemistry ,Medicinal chemistry ,Tautomer ,chemistry.chemical_compound ,chemistry ,Drug Discovery ,X-ray crystallography ,Organic chemistry ,Molecule ,Saponification - Abstract
The structure of 3,4-dihydroxy-2-thiophenecarboxylic acid ethyl ester was proven by NMR and X-ray crystallographic. Under all conditions assessed, this compound existed as the dihydroxy tautomer 2.
- Published
- 1993
50. Multi-layer model vs. single-layer model for N and P doped poly layers in etch bias modeling
- Author
-
Chunqing Wang, Lawrence S. Melvin, Jianliang Li, Daniel Beale, and Ezequiel Vidal-Russell
- Subjects
Engineering ,Process modeling ,business.industry ,law.invention ,Resist ,Optical proximity correction ,law ,Etching (microfabrication) ,Distortion ,Proximity effect (audio) ,Electronic engineering ,Photolithography ,business ,Algorithm ,Critical dimension - Abstract
In modern photolithography, ever smaller critical dimension (CD) budgets require tighter control over the entire process, demanding more accurate practice of optical proximity correction (OPC). In last decade, the model based OPC (MBOPC) has outpaced the rule based OPC (RBOPC) and become widely adopted in semiconductor industry. During the MBOPC process, the physical models are called to compute the signal values at the evaluation points and the design patterns are perturbed such that the final model contours are as close to the targets as possible. It has been demonstrated that in addition to simulating the optics and resist effects, the physical models must accommodate the pattern distortion due to etch process as well. While the etch process may be lumped with optics and resist processes into one model for the 65nm and above nodes, it can no longer be treated as small perturbations on photolithographic effects for more advanced nodes and it is highly desired to build a physics-based etch model formulations that differ from the conventional convolution-based process models used to simulate the optical and resist effect. Our previous studies proposed a novel non-linear etch modeling object in combination with conventional convolution kernels, which simulates the non-optics and non-resist proximity effect successfully. This study examines further the non-linear etch modeling method by checking the different behaviors of N and p doped layers which physically have different etching rates and should be represented differently in etch modeling. The experimental results indicate that the fitting accuracy is significantly improved when the data points are split into N and P groups and calibrated separately. The N and P layer etch models are used in staged MBOPCs and the results are compared with single-layer model as well.
- Published
- 2010
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.