40 results on '"Chi-Hoon Jun"'
Search Results
2. Design and Evaluation of Cascode GaN FET for Switching Power Conversion Systems
- Author
-
Chi Hoon Jun, Hyun Gyu Jang, Sang Choon Ko, Dong Yun Jung, Youngrak Park, Minki Kim, Junbo Park, Eun Soo Nam, and Hyun-Soo Lee
- Subjects
010302 applied physics ,Materials science ,FET amplifier ,General Computer Science ,Switching power ,020208 electrical & electronic engineering ,02 engineering and technology ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,0103 physical sciences ,Boost converter ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Cascode ,Electrical and Electronic Engineering - Published
- 2017
3. 48-to-5/12 V dual output DC/DC converter for high efficiency and small form factor in electric bike applications
- Author
-
Hyun-Soo Lee, Jong Mun Park, Minki Kim, Dong Yun Jung, Chi Hoon Jun, Hyun Gyu Jang, Seok-Ho Son, Sang Choon Ko, and Junbo Park
- Subjects
010302 applied physics ,Physics ,business.industry ,020208 electrical & electronic engineering ,Electrical engineering ,02 engineering and technology ,Converters ,Network topology ,01 natural sciences ,Noise (electronics) ,Signal ,Small form factor ,law.invention ,law ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Resistor ,business ,Frequency modulation ,Voltage - Abstract
We propose a dual output dc/dc converter for high efficiency and small form factor in electric bike applications. To implement a converter with single input of 48 V and dual output of 5 V and 12 V, we propose the system architecture combined with a 48-to-12 V converter and a 12-to-5 V converter on single PCB. The input of the 12-to-5 V is connected to the output of the 48-to-12 V converter to obtain stable 5 V without regard to the battery voltage. For high efficiency, both converters use synchronous topologies. For small form factor, the switching frequencies of the converters are fixed to 300 kHz and 1 MHz, respectively. To minimize undesirable PCB noise, several resistors are added and signal paths are designed shortly. The proposed dual output converter was implemented to 45 mm × 42 mm size. When the input voltage of 48 V is supplied, the measure efficiency is 87.5 % under a full-load condition of 3.1 A at 5 V output and 2 A at 12 V output.
- Published
- 2017
4. Normally-off GaN MIS-HEMT using a combination of recessed-gate structure and CF4plasma treatment
- Author
-
Woojin Chang, Dong-Yun Jung, Sung-Bum Bae, Youngrak Park, Chi-Hoon Jun, Eun-Soo Nam, Jung-Jin Kim, Sang-Choon Ko, and Jaekyung Mun
- Subjects
Materials science ,business.industry ,Transistor ,chemistry.chemical_element ,Plasma treatment ,Surfaces and Interfaces ,High-electron-mobility transistor ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Ion ,Threshold voltage ,law.invention ,Electronegativity ,chemistry ,law ,Power electronics ,Materials Chemistry ,Fluorine ,Optoelectronics ,Electrical and Electronic Engineering ,business - Abstract
The combination of a recessed-gate structure and CF4 plasma treatment was studied to realize a normally-off operation of a AlGaN/GaN metal–insulator–semiconductor high-electron-mobility transistor (MIS-HEMT) for power electronics applications. We verified that fluorine ions incorporated with a strong electronegativity increase the threshold voltage (Vth). In addition, CF4 plasma treatment slightly etches the AlGaN surface as deeply as 25 A. A fabricated device exhibits a threshold voltage of as high as 2.6 V. Using a combination of gate recess and plasma treatment processes, the device demonstrates a tremendous potential of normally-off AlGaN/GaN MIS-HEMTs for power electronics applications.
- Published
- 2015
5. 0.34 <tex-math notation='LaTeX'>$\text{V}_{\mathrm {T}}$ </tex-math> AlGaN/GaN-on-Si Large Schottky Barrier Diode With Recessed Dual Anode Metal
- Author
-
Jeho Na, Youngrak Park, Chi-Hoon Jun, Sang-Ouk Ryu, Hyun-Gyu Jang, Sang Choon Ko, Junbo Park, Hyun-Soo Lee, Eun Soo Nam, Dong Yun Jung, and Hyoung-Seok Lee
- Subjects
Materials science ,business.industry ,Wide-bandgap semiconductor ,Schottky diode ,Gallium nitride ,Electronic, Optical and Magnetic Materials ,Anode ,chemistry.chemical_compound ,Reverse leakage current ,chemistry ,Optoelectronics ,Breakdown voltage ,Dry etching ,Electrical and Electronic Engineering ,business ,Diode - Abstract
A large GaN-Schottky barrier diode (SBD) with a recessed dual anode metal is proposed to achieve improved the forward characteristics without a degradation of the reverse performances. Using optimized dry etch condition for a large device, the electrical characteristics of the device are demonstrated when applying the recessed dual anode metal and changing the recess depths. The device size and channel width are 4 mm2 and 63 mm, respectively. The 16-nm recessed dual anode metal SBD has a turn- on voltage of 0.34 V, a breakdown voltage of 802 V, and a reverse leakage current of $1.82~\mu \text{A}$ /mm at −15 V. The packaged SBD exhibits a forward current of 6.2 A at 2 V and a reverse recovery charge of 11.54 nC.
- Published
- 2015
6. Sub-ppm level MEMS gas sensor
- Author
-
Hyun-Woo Song, Seon-Hee Park, Chi-Hoon Jun, and Sang-Choon Ko
- Subjects
Microelectromechanical systems ,Bulk micromachining ,chemistry.chemical_compound ,Materials science ,chemistry ,Operating temperature ,Electrode ,Formaldehyde ,Analytical chemistry ,Layer (electronics) ,Sensitivity (electronics) ,Voltage - Abstract
A sub-ppm level MEMS gas sensor that can be used for the detection of formaldehyde (HCHO) is presented. It is realized by using a zinc oxide (ZnO) thin-film material with a Ni-seed layer as a sensing material and by bulk micromachining technology. To enhance sensitivity of the MEMS gas sensor with Ni-seed layer was embedded with ZnO sensing material and sensing electrodes. As experimental results, the changed sensor resistance ratio for HCHO gas was 9.65 % for 10 ppb, 18.06 % for 100 ppb, and 35.7 % for 1 ppm, respectively. In addition, the minimum detection level of the fabricated MEMS gas sensor was 10 ppb for the HCHO gas. And the measured output voltage was about 0.94 V for 10 ppb HCHO gas concentration. The noise level of the fabricated MEMS gas sensor was about 50 mV. The response and recovery times were 3 and 5 min, respectively. The consumption power of the Pt micro-heater under sensor testing was 184 mW and its operating temperature was .
- Published
- 2008
7. Micromachined air-gap structure MEMS acoustic sensor using reproducible high-speed lateral etching and CMP process
- Author
-
Sang Choon Ko, Won Ick Jang, Chang Auck Choi, and Chi-Hoon Jun
- Subjects
Microelectromechanical systems ,Materials science ,Silicon ,business.industry ,Mechanical Engineering ,Electrical engineering ,Oxide ,chemistry.chemical_element ,Capacitance ,Electronic, Optical and Magnetic Materials ,Printed circuit board ,chemistry.chemical_compound ,chemistry ,Mechanics of Materials ,Chemical-mechanical planarization ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Sound pressure ,Air gap (plumbing) - Abstract
This paper presents a micromachined air-gap structure microelectromechanical systems (MEMS) acoustic sensor, which is fabricated via assisted high-speed lateral etching and chemical mechanical polishing (CMP). A sandwich structure (LTO/P2O5/LTO) as a sacrificial layer for the releasing process is proposed to produce an air-gap structure MEMS acoustic sensor. This sandwich structure can be etched selectively in a specific patterned P2O5 layer. In addition, the sandwich structure proved superior to using only low temperature oxide (LTO) layer for the releasing process. We confirmed that the proposed releasing method assisted by lateral etching and CMP is very effective for creating a clean air-gap cavity in MEMS devices. In this work, the air-gap structure MEMS acoustic sensor is based on the capacitance change of a movable thin poly-silicon membrane. A high-gain impedance converter was mounted on a printed circuit board (PCB) with a silicon MEMS acoustic sensor to transform the electrical signal for input acoustic pressure. The membrane size of the MEMS acoustic sensor was 1.5 × 1.5 mm2. The sensitivity achieved was about 0.018–5.17 mV Pa−1. The noise level of the fabricated device was 10 µV Pa−1.
- Published
- 2006
8. Surface micromachined thermally driven micropump
- Author
-
Chi Hoon Jun, Won Ick Jang, Chang Auck Choi, Masayoshi Esashi, and Youn Tae Kim
- Subjects
Microheater ,Microchannel ,Materials science ,Metals and Alloys ,Analytical chemistry ,Micropump ,Diaphragm (mechanical device) ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Surface micromachining ,Plasma-enhanced chemical vapor deposition ,Etching (microfabrication) ,Stiction ,Electrical and Electronic Engineering ,Composite material ,Instrumentation - Abstract
This paper presents the design, fabrication, and testing of a thermally driven micropump fabricated by surface micromachining. The micropump consists of a membrane of a corrugated diaphragm, a sealed cavity filled with air and buried with n + polysilicon microheater and a microchannel with a pair of nozzle and diffuser valves. The polysilicon membrane was used as a structural layer and low-temperature oxide (LTO) and plasma enhanced chemical vapor deposition (PECVD) oxide as a sacrificial layer. Using gas-phase etching (GPE) process with anhydrous hydrogen fluoride (HF) gas and isopropyl alcohol (IPA) vapor, we successfully fabricated the thermally driven micropmump with no virtually process-induced stiction. The flow rate of the micropump is measured at the applied voltage of 25 V with the duty cycle of 50%. The maximum flow rate of the micropump with the corrugated diaphragm is about 3.1 μl/min at 5 Hz.
- Published
- 2004
9. A self-aligned vertical comb-drive actuator on an SOI wafer for a 2D scanning micromirror
- Author
-
Chang Auck Choi, Chi-Hoon Jun, Dooyoung Hah, and Chang-Kyu Kim
- Subjects
Materials science ,Silicon ,business.industry ,Mechanical Engineering ,Silicon on insulator ,chemistry.chemical_element ,Electronic, Optical and Magnetic Materials ,Optics ,Tilt (optics) ,chemistry ,Mechanics of Materials ,Comb drive ,Etching (microfabrication) ,Deep reactive-ion etching ,Wafer ,Electrical and Electronic Engineering ,Actuator ,business - Abstract
A self-aligned vertical comb-drive actuator for a two-axis tilt scanning micromirror is presented. Self-alignment between moving and fixed fingers is essential in order to avoid lateral instability leading to an in-plane rotational pull-in during an actuation. Multilayered masking films have been utilized to fabricate the self-aligned comb fingers. To generate high electrostatic torque, high-aspect ratio comb-drive actuators with 40 µm thick fingers have been realized on a silicon-on-insulator (SOI) wafer utilizing deep reactive ion etching (DRIE) technology. A delay-mask process (DMP) was employed in an etching step of a silicon device layer to assist etching of a buried oxide (BOX) layer at the bottom of narrow (5 µm) and deep (40 µm) silicon trenches. The DC mechanical scan angles of the actuators employed in the two-axis tilt, gimbal-configured micromirror were measured as ±2.1° at 48 V around an inner axis and ±1.8° at 44 V around an outer axis, respectively. The fabricated micromirror with a mirror area of 1 mm × 1 mm has mechanical resonant frequencies of 1.2 kHz around the inner axis (a mirror only) and 0.9 kHz around the outer axis (a frame and the mirror), respectively.
- Published
- 2004
10. Fabrication of MEMS devices by using anhydrous HF gas-phase etching with alcoholic vapor
- Author
-
Myung Lae Lee, Chi Hoon Jun, Youn Tae Kim, Won Ick Jang, and Chang Auck Choi
- Subjects
Microelectromechanical systems ,Materials science ,Silicon ,Mechanical Engineering ,chemistry.chemical_element ,Isotropic etching ,Electronic, Optical and Magnetic Materials ,Surface micromachining ,chemistry.chemical_compound ,chemistry ,Chemical engineering ,Silicon nitride ,Mechanics of Materials ,Etching (microfabrication) ,Plasma-enhanced chemical vapor deposition ,Electronic engineering ,Electrical and Electronic Engineering ,Phosphosilicate glass - Abstract
In silicon surface micromachining, anhydrous HF GPE process was verified as a very effective method for the dry release of microstructures. The developed gas-phase etching (GPE) process with anhydrous hydrogen fluoride (HF) gas and alcoholic vapor such as methanol, isopropyl alcohol (IPA) was characterized and its selective etching properties were discussed. The structural layers are P-doped multi-stacked polysilicon and silicon-on-insulator (SOI) substrates and sacrificial layers are tetraethylorthosilicate (TEOS), low-temperature oxide (LTO), plasma enhanced chemical vapor deposition (PECVD) oxide, phosphosilicate glass (PSG) and thermal oxides on silicon nitride or polysilicon substrates. We successfully fabricated and characterized micro electro mechanical system (MEMS) devices with no virtually process-induced stiction and no residues. The characteristics of the MEMS devices for microsensor and microactuator, microfluidic elements and optical MEMS application were evaluated by experiment.
- Published
- 2002
11. Development of a heat pipe heat dissipation method for CPV application
- Author
-
Sang-Choon Ko, Seok-Hwan Moon, Soo-Hyun Hong, Kyu-Ho Lee, Chi-Hoon Jun, Ki-Sung Park, Jae-Kyoung Mun, and Jun-Hee Park
- Subjects
Heat pipe ,Materials science ,Heat flux ,Heat transfer ,Heat spreader ,Micro-loop heat pipe ,Thermodynamics ,Plate fin heat exchanger ,Thermosiphon ,Heat sink ,Composite material - Abstract
Even when there is a sufficient heat dissipation area from the heat sink to the environment, if the heat flux in the chip package substrate cannot be transferred rapidly to the heat sink, a thermal problem may occur.[1] In this study, a relatively thin CPV module compared to general models was considered. Because four solar chips are mounted on a center column in the CPV module, heat can accumulate rapidly. Therefore, a heat pipe with high thermal conductivity was considered as the heat dissipation method.[2] The heat pipe adopted in the present study is commercially available and has a circular type sintered wick in it. To apply the heat pipe to the CPV module with thin thickness and a central column with 4 solar cells, it should be pressed and bent. The thermal characteristics of the pressed and bent heat pipe was investigated experimentally.
- Published
- 2014
12. The effects of process conditions and substrate on copper MOCVD using liquid injection of (hfac)Cu(vtmos)
- Author
-
Youn Tae Kim and Chi-Hoon Jun
- Subjects
Analytical chemistry ,chemistry.chemical_element ,Substrate (chemistry) ,Mineralogy ,Chemical vapor deposition ,Condensed Matter Physics ,Copper ,Electronic, Optical and Magnetic Materials ,chemistry ,Impurity ,Electrical resistivity and conductivity ,Materials Chemistry ,Metalorganic vapour phase epitaxy ,Electrical and Electronic Engineering ,Thin film ,Tin - Abstract
Copper MOCVD (metalorganic chemical vapor deposition) using liquid injection for effective delivery of the (hfac)Cu(vtmos) [1,1,1,5,5,5-hexafluoro-2,4-pentadionato(vinyltrimethoxysilane) copper(I)] precursor has been performed to clarify growth behavior of copper films onto TiN, Si, and Si3N4 substrates. Especially, we have studied the influences of process conditions and the substrate on growth rates, impurities, microstructures, and electrical characteristics of copper films. As the reactor pressure was increased, the growth rate was governed by a pick-up rate of (hfac)Cu(vtmos) in the vaporizer. The apparent activation energy for copper growth over the surface-reaction controlled regime from 155°C to 225°C was in the range 12.7–32.5 kcal/mol depending upon the substrate type. It revealed that H2 addition at 225°C substrate temperature brought about a maximum increase of about 25% in the growth rate compared to pure Ar as the carrier gas. At moderate deposition temperatures, the degree of a preferred orientation for the deposit was higher on the sequence of
- Published
- 2001
13. Barrier properties of TiN/TiSi2 bilayers formed by two-step rapid thermal conversion process for Cu diffusion barrier
- Author
-
Dae Yong Kim, Chi-Hoon Jun, and Youn Tae Kim
- Subjects
Materials science ,Diffusion barrier ,Annealing (metallurgy) ,Metallurgy ,Metals and Alloys ,chemistry.chemical_element ,Surfaces and Interfaces ,Nitrogen ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Auger ,Grain growth ,Chemical engineering ,chemistry ,Thermal ,Materials Chemistry ,Tin ,Sheet resistance - Abstract
TiN/TiSi2 bilayers offering excellent stability as a barrier against Cu metallization have been made achievable through a two-step rapid thermal conversion process. TiN/TiSi2 bilayers are formed on single-crystalline Si substrates by the thermal conversion of Ti films in an ammonia ambient, using a rapid thermal process with a sequential two-step temperature cycle. In the thermal conversion process, the first-step is conducted in a low-temperature range, to minimize Ti/Si interaction, while maintaining reasonable interaction of Ti/NH3 and vigorous nitrogen diffusion through the Ti layer, to maximize the thickness of the TiN layer. Then, the second step is carried out at a relatively high temperature, to reduce Ti/Si interaction in the silicidation process. The TiN/TiSi2 bilayers show excellent diffusion barriers between Cu and single-crystal Si up to the annealing condition, 800°C for 90 s; and the Cu film improve in quality by resulting from grain growth in a decrease of about 20% in sheet resistance. From the XRD results, only variation of peak intensities was observed due to grain growth of the Cu film for the 850°C annealed samples. Also, there was no evidence of Cu diffusion through TiN for the 850°C annealed samples on the Auger and RBS results. It seems that enhanced barrier property of the Cu/TiN/TiSi2 stack is due to the thick TiN layer and the suppression of Ti-oxide formation by the low-temperature nitridation in the first step.
- Published
- 1999
14. TiN barrier layer formation by the two‐step rapid thermal conversion process
- Author
-
Jong Tae Baek, Jin Ho Lee, Youn Tae Kim, Hyung Joun Yoo, and Chi-Hoon Jun
- Subjects
Materials science ,Silicon ,Annealing (metallurgy) ,Metallurgy ,Analytical chemistry ,chemistry.chemical_element ,Surfaces and Interfaces ,Condensed Matter Physics ,Nitrogen ,Surfaces, Coatings and Films ,Barrier layer ,chemistry ,Electrical resistivity and conductivity ,Tin ,Sheet resistance ,Titanium - Abstract
We formed TiN barrier layers on single‐crystalline silicon substrates by thermal conversion of Ti films at various temperatures in an ammonia ambient using a rapid thermal process with a sequential two‐step temperature cycle. The first‐step temperatures were held in the low‐temperature range of 400–450 °C for 60–300 s to minimize Ti/Si interaction while keeping reasonable interaction of Ti/NH3 and nitrogen diffusion through the Ti layer to maximize the thickness of the TiN layer. Then, the second‐step was carried out at relatively high temperatures, 700–1000 °C, for 5–90 s to reduce Ti/Si interaction during the silicidation process. By the first steps of the low temperature process, sheet resistances increased with annealing time up to 60 s due to the deep penetration and high concentration of nitrogen in the Ti film, followed by saturation at 60–120 s; they steadily decreased beyond 120 s. Sheet resistance increases were dominated by the nitrogen‐rich Ti layer formed during the first steps of long‐time nitrogen diffusion. With the second steps of the high temperature process, nitrogen enriched Ti layers were converted to Ti‐rich TiN layers, resulting in abrupt decreases in the sheet resistance due to silicidation, densification of TiN, and conversion of the remaining Ti to TiN layers. By means of a two‐step rapid thermal conversion process of the 1000 A Ti layer under long‐time nitridation cycle conditions with optimal thermal conversion conditions (first step: 400 °C/90 s; second step: 700 °C/60 s), we obtained TiN/TiSi2 bilayers of 700/1500 A thicknesses with the TiN thickness ratio relative to the totally converted layer in excess of 30%. These results indicate that the thickness ratio of the TiN layer prepared by a two‐step process relative to the totally converted layer is double that obtained by a one‐step process, while it also provides reduced total thickness of the thermally converted layer.
- Published
- 1996
15. Growth behavior of copper metalorganic chemical vapor deposition using the (hfac)Cu(VTMOS) precursor on titanium nitride substrates
- Author
-
Jong-Tae Baek, Hyung Joun Yoo, Youn Tae Kim, Dai-Ryong Kim, and Chi-Hoon Jun
- Subjects
Auger electron spectroscopy ,Materials science ,Inorganic chemistry ,Nucleation ,chemistry.chemical_element ,Surfaces and Interfaces ,Chemical vapor deposition ,Condensed Matter Physics ,Titanium nitride ,Copper ,Surfaces, Coatings and Films ,chemistry.chemical_compound ,chemistry ,Chemical engineering ,Physical vapor deposition ,Thin film ,Tin - Abstract
Metalorganic chemical vapor deposition of copper using the thermally stable (hfac)Cu(VTMOS) [C10H13O5CuF6Si: 1,1,1,5,5,5‐hexafluoro‐2,4 pentadionato(vinyltrimethoxysilane) copper (I)] precursor has been carried out on TiN substrates prepared by physical vapor deposition (PVD) and rapid thermal processing (RTP). The apparent activation energies over substrate temperatures ranging from 160 to 220 °C were about 7.5 kcal/mol on the PVD‐TiN and 9.7 kcal/mol on the RTP‐TiN. The higher growth rate of the copper deposit on the RTP‐TiN could be ascribed to the increase in nucleation sites and the higher electron catalytic reactivity, resulting in enhancement of copper nucleation density in the initial stages of film growth. The copper deposits revealed polycrystalline phases with a preferred orientation of (111), and impurities within the films were below the detection limit of Auger electron spectroscopy. As substrate temperature increased, the copper films on the PVD‐TiN developed as a columnar structure according to the Volmer–Weber growth mode, and on the RTP‐TiN a nearly equiaxed structure was formed presumably by Stranski–Krastanov grain growth. The electrical resistivity of the deposits on the PVD‐TiN and the RTP‐TiN was in the range 2.8–5.4 μΩ cm for 1500–3100 A film thicknesses and 4.4–6.2 μΩ cm for 2300–4300 A film thicknesses, respectively. With increasing film thickness, the electrical resistivity of the copper layers linearly increased on the PVD‐TiN, while it gradually decreased on the RTP‐TiN. Consequently, the variations in the electrical resistivity of the deposits could be explained by changes in microstructures of the copper films, due to different growth behavior of copper on the two types of substrates.
- Published
- 1996
16. Electrothermally Actuated Fabry–PÉrot Tunable Filter With a High Tuning Efficiency
- Author
-
Chi-Hoon Jun, Myung-Lae Lee, and Chang-Kyu Kim
- Subjects
Fabrication ,Wheatstone bridge ,Materials science ,business.industry ,Semiconductor device ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,law.invention ,Surface micromachining ,Optics ,Filter (video) ,law ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,business ,Optical filter ,Voltage - Abstract
We report on a electrothermally actuated Fabry-Pe/spl acute/rot wavelength tunable filter with a high tuning efficiency by using surface micromachining. To prevent a current flowing through the mirror, a Wheatstone bridge structure is introduced. Because the full wafer is monolithically grown and the electrodes are formed in a single step, the fabrication processes is simple and reproducible. As the current flows through the two parallel thermal actuators, the top mirror moves away from the bottom mirror so that a sticking problem does not occur. The maximum voltage for the 69-nm wavelength tuning by using a 5.2-/spl mu/m-thick filter structure is only 1.7 V and the high tuning efficiency of /spl sim/75 nm/mW is achieved.
- Published
- 2004
17. Sensitivity analysis of ion implanted silicon wafers after rapid thermal annealing
- Author
-
Sang-Koo Chung, Chi Hoon Jun, Hyung Joun Yoo, Youn Tae Kim, and Jong-Tae Baek
- Subjects
Materials science ,Silicon ,Annealing (metallurgy) ,Analytical chemistry ,chemistry.chemical_element ,Condensed Matter Physics ,Nitrogen ,Electronic, Optical and Magnetic Materials ,Ion ,Ion implantation ,chemistry ,Materials Chemistry ,Curve fitting ,Wafer ,Electrical and Electronic Engineering ,Sheet resistance - Abstract
In this study, we have investigated sensitivities of the ion implanted silicon wafers processed by rapid thermal annealing (RTA), which can reveal the variation of sheet resistance as a function of annealing temperature as well as implantation parameters. All the wafers were sequentially implanted by the arsenic or phosphorous implantations at 40, 80, and 100 keV with the dose level of 1014 to 2 × 1016 ions/cm2. Rapid thermal annealing was carried out for 10 s by the infrared irradiation at a temperature between 850 and 1150°C in the nitrogen ambient. The activated wafer was characterized by the measurements of the sheet resistance and its uniformity mapping. The values of sensitivities are determined from the curve fitting of the experimental data to the fitting equation of correlation between the sheet resistance and process variables. From the sensitivity values and the deviation of sheet resistance, the optimum process conditions minimizing the effects of straggle in process parameters are obtained. As a result, a strong dependence of the sensitivity on the process variables, especially annealing temperatures and dose levels is also found. From the sensitivity analysis of the 10 s RTA process, the optimum values for the implant dose and annealing temperature are found to be in the range of 1016 ions/cm2 and 1050-1100°C, respectively. The sensitivity analysis of sheet resistance will provide valuable data for accurate activation process, offering a guideline for dose monitoring and calibration of ion implantation process.
- Published
- 1995
18. Surface Al doping of 4H-SiC via low temperature annealing
- Author
-
Sang Choon Ko, Chi-Hoon Jun, Hyung Seok Lee, Minki Kim, Youngrak Park, Ki-hwan Kim, Junbo Park, and Sangmo Koo
- Subjects
010302 applied physics ,Materials science ,Physics and Astronomy (miscellaneous) ,Annealing (metallurgy) ,Schottky barrier ,Doping ,Analytical chemistry ,Schottky diode ,02 engineering and technology ,Dopant Activation ,021001 nanoscience & nanotechnology ,Metal–semiconductor junction ,01 natural sciences ,Reverse leakage current ,0103 physical sciences ,Breakdown voltage ,0210 nano-technology - Abstract
We present a method of forming shallow p-doping on a 4H-SiC surface by depositing a thin Al layer (d = 5 nm) and then thermally annealing it at 1000 °C for 10 min. A secondary ion mass spectrometry analysis of the annealed Al/SiC sample reveals an Al concentration in excess of 1017 cm−3 up to a depth of d ≤ 250 nm. I–V measurements and CV characterizations of Ti-SiC Schottky barrier diodes (SBDs) fabricated on a n-type SiC epi-wafer indicate that the shallow Al doping increases the built-in potential of the junction and the barrier height by ΔVbi=0.51 eV and ΔϕB=0.26 eV, respectively. Assuming a rectangular doping profile, calculations of the built-in voltage shift and the Schottky barrier height indicate that partial dopant activation (activation ratio ∼2%) can induce the observed barrier height shift. The shallow doping method was then used to fabricate junction terminations in SBDs which increased the breakdown voltage and reduced the reverse leakage current. Technology CAD simulations of the SBD with ...
- Published
- 2016
19. Effects of chlorine based gettering on the electrical properties of rapid thermal oxidation/nitridation dielectric films
- Author
-
Chi Hoon Jun, Youn Tae Kim, and Sang-Koo Chung
- Subjects
Thermal oxidation ,Silicon ,Analytical chemistry ,Oxide ,chemistry.chemical_element ,Hydrochloric acid ,Surfaces and Interfaces ,Dielectric ,Condensed Matter Physics ,Surfaces, Coatings and Films ,chemistry.chemical_compound ,chemistry ,Rapid thermal processing ,Chlorine ,Hydrogen chloride - Abstract
Dielectric films have been grown on single‐crystalline silicon substrates with the thickness ranging from 100 to 180 A at various gas and temperature conditions by using a rapid thermal processing that included an independent nitridation step. The film characteristics and their dependence on the additive contents of the hydrogen chloride gas and the processing time have been studied. The additive concentration of the hydrogen chloride gas in the oxidizing atmosphere were changed from 0% to 8%. By the addition of the hydrogen chloride gas, the oxidation rates and the initial oxide thickness were significantly increased, but after sequential nitridation processes the thickness of the films was nevertheless a little bit varied within 10 A. The effective refractive index of the dielectric films were slightly changed with the additive contents of hydrogen chloride gas, and increased rapidly after nitridation process. All the samples of the dielectric films show the increased breakdown voltages and decreased av...
- Published
- 1993
20. Low onset voltage of GaN on Si Schottky barrier diode using various recess depths
- Author
-
Chi-Hoon Jun, Sang Choon Ko, Jeho Na, Youngrak Park, Jae Kyoung Mun, Woojin Chang, Jung-Jin Kim, Eun Soo Nam, Hyun-Gyu Jang, Hyun-Soo Lee, and Ho-Young Cha
- Subjects
Materials science ,Silicon ,business.industry ,Schottky barrier ,Wide-bandgap semiconductor ,Schottky diode ,chemistry.chemical_element ,Reverse leakage current ,chemistry ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Order of magnitude ,Voltage ,Diode - Abstract
A low onset voltage AlGaN/GaN diode with a width of 14 mm is achieved. The recess depth of the AlGaN layer is responsible for the low onset voltage. In comparison with the conventional non-recessed diode, the onset voltage reduces by 45% along with a decrease of reverse leakage current by about one order of magnitude.
- Published
- 2014
21. Effects of post-annealing by the rapid thermal process on the characteristics of MOCVD-Cu/TiN/Si structures
- Author
-
Chi-Hoon Jun, Youn Tae Kim, and Dae Yong Kim
- Subjects
Materials science ,Annealing (metallurgy) ,Oxide ,chemistry.chemical_element ,Mineralogy ,Condensed Matter Physics ,Microstructure ,Copper ,Electronic, Optical and Magnetic Materials ,Grain growth ,chemistry.chemical_compound ,chemistry ,Chemical engineering ,Materials Chemistry ,Surface roughness ,Electrical and Electronic Engineering ,Thin film ,Tin - Abstract
Effects of rapid thermal annealing on the characteristics of Cu films deposited from the (hfac)Cu(VTMS) precursor and on the barrier properties of TiN layers were studied. By the post-annealing, the electrical characteristics of Cu/TiN and the microstructures of Cu films were significantly changed. The properties of Cu films were more sensitive to the annealing temperature than the annealing time. Sheet resistances were decreased in 400–450°C ranges, and abrupt increases were observed above 750°C. It was also found that the copper films showed pronounced grain growth with the (111) preferred orientation. The grain growth and condensation of copper were observed below 500°C without formation of the CuO and Cu2O phase resulting in surface degradation. Above 500°C, the oxide compound of copper was partially formed on the surface and the inter-reaction on the Cu-TiN interface was started. The inter-reaction of Cu-Ti and Cu-Si interface vigorously occurred and the surface roughness was continuously deteriorated above 650°C. It revealed that the optimum annealing conditions for MOCVD-Cu/PVD-TiN structures to enhance the electrical characteristics without degradation of TiN barriers were in the range of 400°C.
- Published
- 1999
22. Novel lithography process for extreme deep trench by using laminated negative dry film resist
- Author
-
Won Ick Jang, Chi Hoon Jun, Youn Tae Kim, Moon-Youn Jung, Myung Rae Lee, and Chang Auck Choi
- Subjects
Microelectromechanical systems ,Fabrication ,Materials science ,Resist ,business.industry ,Trench ,Optoelectronics ,Nanotechnology ,Photomask ,Photoresist ,Edge (geometry) ,business ,Lithography - Abstract
For the fabrication of MEMS(micro electro mechanical system) devices such as HAR(high-aspect-ratio) microstructures with an extreme deep trench, a novel lithography method was newly developed in this study. In the case of the deep trench, the liquid photoresist is not or very thinly coated at edge parts of the trench boundary. And, if a very thick resist coated, it is nearly impossible to develop the photoresist in the deep trench. To solve these problems, it is capped by laminating negative DFR(dry film resist) film on the cavity opening of the deep trench. Then positive photoresist is conventionally coated and patterned by the same photomask for the deep trench. To apply electric signals from outside to inside of the trench, aluminum on sidewall and bottom of the deep trench was successfully patterned by newly developed lithography method.
- Published
- 2004
23. Packaging technology for wavelength tunable filter based on optical MEMS
- Author
-
Yong-Sung Eom, Jongdeog Kim, Byung-Suk Choi, Myung-Lae Lee, Jong-Hyun Lee, Jong-Tae Moon, Ho-Gyeong Yun, Kwang-Seong Choi, Chang Auck Choi, and Chi-Hoon Jun
- Subjects
Microelectromechanical systems ,Materials science ,Optics ,Packaging engineering ,business.industry ,Filter (video) ,Wavelength-division multiplexing ,Optical communication ,Optoelectronics ,Integrated circuit packaging ,business ,Optical filter ,Flip chip - Abstract
One of the key components for the WDM optical communication is a wavelength tunable filter. The packaging technology of the tunable filter with Fabry-Perot cavity based on optical MEMS is investigated for the low power consumption and mass production. The flip chip process with Au-20(wt.%)Sn solder was conducted to integrate two DBR mirrors in parallel with a constant distance for the simple design of packaging structure. TEC fiber was used to achieve a wide range of the optical alignment tolerance for the high coupling efficiency.
- Published
- 2004
24. 47 nm tuning of thermally actuated Fabry-Perot tunable filter with very low power consumption
- Author
-
Youn Tae Kim, Myung-Lae Lee, Chang Kyu-Kim, and Chi-Hoon Jun
- Subjects
Range (particle radiation) ,Materials science ,business.industry ,Gallium arsenide ,Wavelength ,chemistry.chemical_compound ,Optics ,chemistry ,Filter (video) ,Power consumption ,Optoelectronics ,business ,Optical filter ,Fabry–Pérot interferometer ,Voltage - Abstract
A thermally actuated GaAs-based wavelength tunable filter with 7.64-/spl mu/m-thick suspended structure is presented. Wide tuning range of 74 nm is achieved with the low driving voltage 4.6 V and the power consumption of 4.97 mW.
- Published
- 2004
25. A self-aligned vertical comb-drive actuator using surface micromachining for scanning micromirrors
- Author
-
Chi-Hoon Jun, Chang Auck Choi, Dooyoung Hah, and Youn Tae Kim
- Subjects
Surface micromachining ,Optical scanners ,Tilt (optics) ,Materials science ,Optics ,Optical testing ,Comb drive ,business.industry ,Actuator ,business - Abstract
A self-aligned vertical comb-drive actuator fabricated using surface micromachining is presented. One- and two-axis tilt scanning micromirrors with the actuators were fabricated and tested. A mechanical tilt angle of /spl plusmn/1.4/spl deg/ at 66 V/sub DC/ was achieved for a 1-mm-diameter micromirror.
- Published
- 2004
26. Characteristics of LIGA PMMA micromirror for MOEM optical switch application
- Author
-
Chi Hoon Jun, Won Ick Jang, Masayoshi Esashi, Moon-Youn Jung, and Youn Tae Kim
- Subjects
Materials science ,Silicon ,business.industry ,chemistry.chemical_element ,Chemical vapor deposition ,Optical switch ,Interferometry ,Optics ,chemistry ,Etching (microfabrication) ,Surface roughness ,Optoelectronics ,Silicon oxide ,business ,LIGA - Abstract
We investigated characteristic of LIGA PMMA (polymethylmethacrylate) micromirror for MOEM optical switch application. The optical switching device was designed and fabricated in multi-layered polysilicon using the LPCVD process. We performed adhesion test on silicon and silicon oxide and acid test by anhydrous HF GPE (gas-phase etching) and 6:1 BHF wet etch processes for thin and thick gold-coated PMMA micromirrors. Normalized reflectance and surface roughness are measured by UV Spectrometer and WYKO interferometer, respectively. We found that the reflectance of gold-coated PMMA micromirror on the silicon surface was above 90%.
- Published
- 2004
27. An independent, temperature-controllable microelectrode array
- Author
-
Haesik Yang, Chang Auck Choi, Kwang Hyo Chung, Chi-Hoon Jun, and Youn Tae Kim
- Subjects
Microelectromechanical systems ,Microheater ,Temperature control ,Fabrication ,business.industry ,Chemistry ,Analytical chemistry ,Multielectrode array ,Temperature measurement ,Analytical Chemistry ,Microelectrode ,Optoelectronics ,Thermal mass ,business - Abstract
Rapid, localized temperature control and negligible power consumption are key requisites for realizing effective parallel and sequential processing in the miniaturized, integrated biomedical microdevices where temperature-dependent biochemical reactions and fluid flow occur. In this study, an independent, temperature-controllable microelectrode array, with excellent temperature control rates and minimal power consumption, has been developed using microelectromechanical systems technology. The microfabricated array consists of Pt microelectrodes (100-microm diameter), with n-doped polysilicon microheaters (1.4-k Omega resistance), and vacuum-sealed cavities of depth 6.2 microm and diameter 200 microm. The thermal characteristics of each microelectrode were evaluated electrochemically through surface temperature measurements. The large heater power coefficient (2.1 +/- 0.1 degrees C mW(-1)) and the short heating and cooling times (less than 0.2 s for T(0.95)) are consequences of the vacuum-sealed cavities, which facilitate good thermal isolation and low thermal mass. The temperature of each microelectrode is independently controlled by a dedicated microheater, without thermally influencing the adjacent microelectrodes significantly.
- Published
- 2004
28. Glucose sensor using a microfabricated electrode and electropolymerized bilayer films
- Author
-
Chang Auck Choi, Hee Chan Kim, Taek Dong Chung, Youn Tae Kim, Haesik Yang, and Chi Hoon Jun
- Subjects
Materials science ,Biomedical Engineering ,Biophysics ,Enzyme electrode ,Analytical chemistry ,chemistry.chemical_element ,Biosensing Techniques ,Phenylenediamines ,Permeability ,chemistry.chemical_compound ,Electrochemistry ,Polyamines ,Glucose oxidase ,Electrodes ,Polytetrafluoroethylene ,Acetaminophen ,biology ,Bilayer ,General Medicine ,Hydrogen-Ion Concentration ,Glucose ,chemistry ,Chemical engineering ,Electrode ,biology.protein ,Tetrafluoroethylene ,Platinum ,Biosensor ,Layer (electronics) ,Biotechnology - Abstract
A new type miniaturized glucose sensor with good selectivity and stable current response has been developed. The structure consists of a recessed rectangular microfabricated platinum electrode, inner layer of two electropolymerized nonconducting films, and outer bilayer of poly(tetrafluoroethylene) (Teflon) and polyurethane (PU) films. Glucose oxidase (GOx) is entrapped during the electropolymerization of a poly( m -phenylenediamine) (PMPD) film in an acetate buffer (AB) solution, on which a highly interference-resistive PMPD film is deposited in a phosphate buffered saline (PBS) solution. The second PMPD film causes no significant decrease in accessibility of glucose to GOx. The inner layer maintains less than 1% permeability to acetaminophen for 12 days. The fairly adhesive outer layer allows stable current response. Due to high permeability, the information about enzyme activity can be obtained without serious error in spite of outer layer intervening between enzymes and solution. The apparent Michaelis–Menten constant and the maximum steady-state current density were 24 mM and 80 μA cm −2 , respectively.
- Published
- 2002
29. Novel process for high reflectivity of Al sidewalls of optical mirrors using KrF excimer laser annealing
- Author
-
Hojun Ryu, Myung-Lae Lee, Youn Tae Kim, Moon-Youn Jung, and Chi-Hoon Jun
- Subjects
Materials science ,Annealing (metallurgy) ,business.industry ,Surface finish ,Laser ,Optical switch ,law.invention ,Surface micromachining ,Optics ,law ,Chemical-mechanical planarization ,Deep reactive-ion etching ,Thin film ,business - Abstract
A study of the laser annealing effect for the thermal evaporated Al thin film onto micromirrors of optical switch and (100) Si subtrates is reported. The 2 X 2 optical switches has been fabricated through DRIE process. The input laser energy has been changed from 150 mJ/pulse to 350 mJ/pulse and the number of pulse also changed. The surface morphology is investigated by SEM micrograph and the roughness is examined by AFM. The reflectivities of the samples are measured by IR reflectometer and the results are normalized with gold. In case of the energy above 200 mJ/pulse, the reflectivities are improved up to above 0.98 from the incident beam region of 1300 nm to 1550 nm. The improvement of reflectivity is caused by the reflow process induced laser annealing. By the reflow process the grain have been growth and agglomerated for the surface planarization. The energy for planarization is sufficient as 1 pulse incident laser beam. According to the number of pulses, reflectivity is somewhat degraded by excess heat of reflow in case of above 5 pulses. There is minor morphology change with input laser energy.© (2001) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.
- Published
- 2001
30. Fabrication of highly sensitive thermal microflow sensor with surface-micromachined vacuum platform for gas and liquid applications
- Author
-
Youn Tae Kim, Won Ick Jang, Chi-Hoon Jun, and Chang Auck Choi
- Subjects
Surface micromachining ,Fabrication ,Materials science ,Microchannel ,business.industry ,Microfluidics ,Thermoelectric effect ,Optoelectronics ,Heat sink ,business ,Thermoelectric materials ,Thermopile - Abstract
For realization of a highly sensitive thermal microflow sensor with small active area of 100 X 100 micrometers 2, a main interest is focused on decreasing thermal loss due to a substrate and air medium. A basic microstructure that was composed of a vacuum cavity with 6.2 micrometers depth and a stacked membrane was formed by the DECTOR (deep cavity using trench oxidation and release) process using silicon surface micromachining. On the vacuum platform, a n+-doped heater and two n+/p+-doped thermopiles with 1.0-micrometers -width poly-Si lines and Pt RTDs as major parts of the flow sensor were subsequently implemented by CMOS processing. The completed sensor had a microfluidics with a microchannel of 500(w) X 200(d) micrometers 2. The thermopiles as main temperature sensors showed fast thermal response time of 68 microsecond(s) and maximum thermoelectric responsivity of 25 mV/mW. Flow measurements up to 430 sccm for air and 80 (mu) l/min for water revealed that the sensor outputs were significantly enhanced with the increase of the heater power and decrease of the distance between the heater and thermopile hot junction. Irrespective of a much smaller active area compared to bulk-micromachined thermal flow sensors, a high sensitivity of about 3.08 X 10-2 mV/mW/sccm and 2.3 X 10-2 mV/mW/((mu) l/min) was achieved for air and water as working fluid, respectively. Thanks to the vacuum platform and optimized sensor configuration, it is possible to improve flow sensitivity and to extend a linear flow range, accompanying with a reduced sensor size and low power consumption.© (2001) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.
- Published
- 2001
31. Temperature-Addressable Microelectrode Array
- Author
-
Chang Auck Choi, Chi-Hoon Jun, Haesik Yang, and Youn Tae Kim
- Subjects
Microheater ,Materials science ,business.industry ,technology, industry, and agriculture ,Analytical chemistry ,Multielectrode array ,Electrolyte ,Microelectrode ,Surface micromachining ,Power consumption ,Trench ,Electrode ,Optoelectronics ,business - Abstract
A new temperature-addressable microelectrode array with low power consumption has been developed using surface micromachining. It consists of 96 temperature-controllable microelectrodes, and each microelectrode contains a vacuum-sealed cavity, an n+-doped poly-Si microheater, and an electrically isolated electrode. The vacuum-sealed cavity was employed for thermal isolation and low power consumption and was fabricated by using the DECTOR (DEep Cavity using Trench Oxidation and Release) process. The performance of the microelectrode was evaluated electrochemically. The microelectrode in electrolytes showed better heating/cooling characteristics.
- Published
- 2001
32. Silicon surface micromachining of a deep vacuum cavity structure and its application to a microflow sensor
- Author
-
Chi-Hoon Jun, Youn Tae Kim, Won Ick Jang, and Chang Auck Choi
- Subjects
Microelectromechanical systems ,Materials science ,Silicon ,Precision engineering ,business.industry ,chemistry.chemical_element ,Nanotechnology ,Dielectric ,Thermopile ,Surface micromachining ,chemistry ,Thermal ,Optoelectronics ,Dielectric loss ,business - Abstract
Main interests for MEMS devices are to reduce thermal, dielectric and magnetic loss in active areas due to a substrate and an air medium. For this purpose, deep vacuum cavity structures with planarized stacked membranes were fabricated by the DECTOR process based on silicon surface micromachining. We discuss details of the developed process, especially the effects of a Si trench geometry, post- annealing of the poly-Si layer and HF release conditions on completion of the vacuum structure. To identify validity of the proposed microstructures, thermal microflow sensors having an n+-doped heater and two n+- /p+-doped thermopiles with poly-Si lines were implemented on the various cavity structures of 100 by 100 by 6.2 micrometers 3 using additional CMOS batch processing. The heating efficiency of the sensor on the vacuum cavity is increased by a factor of 5.8 and 1.7 compared to the structures with residual oxides and the air cavity, respectively. It is also found that the sensitivity using the downstream thermopile of 2.5 M(Omega) , 1.53 by 10-1 mV/(m/s)/mW under 10 mW input power, is about ten and three times higher than corresponding values with residual oxides and the air cavity. Therefore, the configuration employing the deep vacuum cavity structure has advantages of low power consumption and the high sensitivity. These results support versatile MEMS applications.© (2000) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.
- Published
- 2000
33. Fabrication of surface-micromachined thermally driven micropump by anhydrous HF gas-phase etching with 2-propanol
- Author
-
Chi Hoon Jun, Myung Lae Lee, Chang Auck Choi, Won Ick Jang, and Youn Tae Kim
- Subjects
Surface micromachining ,Materials science ,Silicon ,chemistry ,Chemical engineering ,Plasma-enhanced chemical vapor deposition ,Etching (microfabrication) ,Stiction ,Anhydrous ,Micropump ,chemistry.chemical_element ,Chemical vapor deposition - Abstract
In silicon surface micromachining, the HF GPE process was verified as a very effective method for the dry release of microstructures. The developed GPE system with anhydrous HF gas and 2-propanol vapor was characterized and its selective etching properties were discussed. The polysilicon membrane was used as a structural layer and LTO and PECVD oxide as a sacrificial layer. We successfully fabricated the surface micromachined microstructures of a thermally driven micropump with no virtually process-induced stiction and no residues after the GPE of sacrificial oxides on polysilicon substrates.
- Published
- 2000
34. Dry release process of anhydrous HF gas-phase etching for the fabrication of a vibrating microgyroscope
- Author
-
Chi Hoon Jun, Yoon Shick Hong, Jong Hyun Lee, Won Ick Jang, Youn Tea Kim, and Chang Auck Choi
- Subjects
Fabrication ,Silicon ,chemistry.chemical_element ,Mineralogy ,Gyroscope ,Isotropic etching ,law.invention ,Surface micromachining ,chemistry ,law ,Stiction ,Anhydrous ,Reactive-ion etching ,Composite material - Abstract
A micro gyroscope, which vibrates in two orthogonal axes on the substrate plane, is designed and fabricated. Fabrication processes of the micro gyroscope are composed of anisotropic silicon etching by RIE, dry release by newly developed anhydrous HF gas-phase etching (GPE) of the buried sacrificial oxide layer, stress relief by multi-step annealing, metal electrode formation. The GPE process was verified as a very effective method for the release of compliant microstructures of micro gyroscope. The developed GPE system with anhydrous HF gas and CH3OH vapor was characterized and its etching properties were discussed. We successfully fabricated micro gyroscope with no virtually process-induced stiction and no residual products after GPE of TEOS, LTO, and thermal oxide on silicon substrates.© (1999) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.
- Published
- 1999
35. Surface-micromachined electrostatic diaphragm micropump
- Author
-
Yong Il Lee, Won Ick Jang, Chi Hoon Jun, Youn Tea Kim, and Chang Auck Choi
- Subjects
Materials science ,Silicon ,Precision engineering ,business.industry ,Microfluidics ,Micropump ,chemistry.chemical_element ,Diaphragm (mechanical device) ,Nanotechnology ,Isotropic etching ,Surface micromachining ,chemistry ,Etching (microfabrication) ,Optoelectronics ,business - Abstract
An electrostatic diaphragm micropump for fluids and gases has been designed and fabricated by silicon surface micromachining. Diaphragm structures are bridge-type, cantilever-type and fan-type polysilicon, and sacrificial layers are low-temperatures oxide on polysilicon substrates. The developed anhydrous HF gas-phase etching of sacrificial oxide on polysilicon substrates has been employed to release polysilicon microstructures. The fabricated micropump with size of the order of 1 mm2 operates at square wave voltage of 10V and 2Hz under near room temperature and normal atmospheric pressure.© (1999) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.
- Published
- 1999
36. Needle-shaped glucose sensor with multicell electrode fabricated by surface micromachining
- Author
-
Young-Yong Kim, Youn Tae Kim, and Chi-Hoon Jun
- Subjects
Auxiliary electrode ,Surface micromachining ,Working electrode ,Materials science ,business.industry ,Etching (microfabrication) ,Electrode ,Analytical chemistry ,Optoelectronics ,Dry etching ,business ,Layer (electronics) ,Reference electrode - Abstract
A needle-shape glucose sensor for in-situ glucose monitoring has been fabricated by surface micromachining and its characteristics were examined. The sensor consists of the needle-shaped sensing part, metal lines and pads to supply a bias voltage and measure the cell current. The sensing part is seated at the end of the needle, and it has three or four working electrodes, a counter electrode, and a reference electrode. A SOI wafer was used as a substrate and a metal layer was deposited to improve bending characteristics of the sensor as a reinforced layer. A Ti/Pt layer is deposited on the thermally oxidized layer and patterned to form cells, electrodes, and metal lines. A Ag/AgCl layer was added to form the reference electrode. And then, the edge of the sensor structure was defined and etched to form the needle shape, and the windows of the cells and the electrodes were opened using wet and dry etching. Finally, a sacrificial oxide layer was removed using wet and gas phase etching and the apparent shape of the sensor was accomplished. The needle shaped microelectrode for the glucose sensor exhibits chemically stable characteristics, and the glucose concentration-dependent oxidation current of hydrogen peroxide produced by the conversion of glucose and oxygen at the working electrode, were measured.
- Published
- 1999
37. Thermal flow sensor with vacuum-sealed membrane fabricated by surface micromachining
- Author
-
Chi-Hoon Jun, Youn Tae Kim, Suk Koon Kim, and Dong-Seong Kwag
- Subjects
Materials science ,Silicon ,business.industry ,Analytical chemistry ,chemistry.chemical_element ,Substrate (electronics) ,Thermopile ,Surface micromachining ,chemistry ,Etching (microfabrication) ,Thermal ,Heat transfer ,Optoelectronics ,Working fluid ,business - Abstract
We have proposed a surface-micromachined microflow sensor to solve the problems of poor thermal isolation and low sensitivity presented in typical thermal flow sensors. The membrane of insulating films on a vacuum cavity formed in a silicon substrate supports a n' poly-Si heating resistor and two bidoped poly-Si thermopiles. We have investigated heattransfer characteristics of the sensor microstructure with the 5-μm-depth cavity of 100×100 μm 2 and nitrogen and water as the working fluid using a two-dimensional numerical analysis in terms of the heater power, inlet flow velocity and pressure inside the cavity. The microstructure with the vacuum cavity shows improved thermal isolation compared to the air cavity, suppressing heat loss from the heater to the substrate. With the vacuum cavity having an optimized geometry, it is possible to enhance the performance of the thermal microflow sensor.
- Published
- 1999
38. Design and development of a prototype excimer-laser-based stepper
- Author
-
Ki Ro Chung, Won Ick Jang, Boo-Yeon Choi, Jong Hyun Lee, Dohoon Kim, Youn Tae Kim, Heung Ok Park, and Chi-Hoon Jun
- Subjects
Materials science ,Excimer laser ,business.industry ,medicine.medical_treatment ,Laser ,law.invention ,Numerical aperture ,Optics ,law ,medicine ,Reticle ,Optoelectronics ,Wafer ,Stepper ,Photolithography ,business ,Lithography - Abstract
This paper describes the design and development of a KrF excimer laser stepper and discusses the detailed system parameters and characterization data obtained from the performance test. We have developed a deep UV step-and-repeat system, operating at 248 nm, by retrofitting commercial modules such as a KrF excimer laser, precision wafer stage and fused silica illumination and 5X projection optics of numerical aperture 0.42. What we have developed, to the basic structure, are wafer alignment optics, reticle alignment system, autofocusing/leveling mechanisms and an environment chamber. Finally, all these subsystems were integrated under the control of microprocessor-based controllers and a computer.
- Published
- 1993
39. Monolithic Fabry–Perot Wavelength Tunable Filter with Electrothermal Actuation
- Author
-
Chi-Hoon Jun, Myung Lae Lee, Chang Kyu Kim, and Chang Auck Choi
- Subjects
Fabrication ,Materials science ,business.industry ,General Engineering ,General Physics and Astronomy ,Photodetector ,Wavelength ,Filter (video) ,Etching (microfabrication) ,Optoelectronics ,business ,Layer (electronics) ,Fabry–Pérot interferometer ,Voltage - Abstract
We report on a micromachined monolithic Fabry–Perot wavelength tunable filter with a thick moving structure operated by an electrothermal actuation. The monolithic structure simplifies the fabrication process and the electrothermal actuation mechanism reduces the required operation voltage. For the wet etching of the AlGaAs sacrificial layer, an HCl-based solution rather than a HF-based one was used because it results in a larger selectivity between the Al x Ga1-x As layers and less damage to the suspended structure. The wavelength tuning range of the 7.64-µm-thick structure was 47 nm for the power consumption of 5 mW, which results in the high tuning efficiency of ∼9.9 nm/mW. The wide tuning range of 81.2 nm for the 5.2-µm-thick structure, that is not possible with an electrostatic actuation mechanism due to the occurrence of breakdown, is achieved at the driving voltage below 5.7 V. Due to the simplicity of fabrication and the ease of integration, this structure is advantageous for use in wavelength tunable light sources and photodetectors.
- Published
- 2005
40. Interfacial Reaction between Aluminum Metal and Boron-Doped Polysilicon in a Planar Type Antifuse Device
- Author
-
Chi Hoon Jun, Byung Tae Ahn, Jong Tae Baek, Youn Tae Kim, Jong Dae Kim, Yoon Ho Song, and Hyung Ho Park
- Subjects
Auger electron spectroscopy ,Materials science ,Annealing (metallurgy) ,Alloy ,General Engineering ,Analytical chemistry ,General Physics and Astronomy ,engineering.material ,X-ray photoelectron spectroscopy ,Electrode ,engineering ,Antifuse ,Grain boundary ,Composite material ,Diffractometer - Abstract
The interfacial reaction between Al metal and boron-doped polysilicon was investigated to understand the mechanism of link formation in the planar type antifuse with a polysilicon pad and two Al electrodes. In the antifuse, the Si–Al alloy filament with a low resistance was formed only on the boron-doped polysilicon pad, not on the phosphorus-doped or undoped polysilicon pads. After annealing Al/boron-doped polysilicon at 400°C for 20 min, an Al-B compound (AlB2) was found by the reaction between Al metal and solute borons at the grain boundaries of polysilicon using Auger electron spectroscopy, X-ray diffractometer, and X-ray photoelectron spectroscopy. In the planar type antifuse device, the formation of AlB2 at the grain boundaries might act as a seed for the conductive filament formation by supplying Al from the positive electrode. After forming a low resistance Si–Al alloy filament, it grows toward the negative electrode by the reaction between supplied Al and highly reactive solute borons segregated at the grain boundaries.
- Published
- 1998
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.