Search

Your search keyword '"LOGIC circuits"' showing total 292 results

Search Constraints

Start Over You searched for: Descriptor "LOGIC circuits" Remove constraint Descriptor: "LOGIC circuits" Language english Remove constraint Language: english Publisher institution of engineering & technology Remove constraint Publisher: institution of engineering & technology
292 results on '"LOGIC circuits"'

Search Results

1. SLOW IS THE NEW FAST.

2. Behavioural synthesis utilising recursive definitions.

3. Area-efficient reconfigurable-array-based oscillator for standard cell characterisation.

4. Throughput enhancement for repetitive internal cores in latency-insensitive systems.

5. Efficient realisation of arithmetic algorithms with weighted collection of posibits and negabits.

6. Efficient inverse transform architectures for multi-standard video coding applications.

7. Design of experiments and integer linear programming-assisted conjugate-gradient optimisation of high-κ/metal-gate nano-complementary metal-oxide semiconductor static random access memory.

8. Functional broadside tests for embedded logic blocks.

9. Design and security evaluation of balanced 1-of-n circuits.

10. Undetectable transition faults under broadside tests with constant primary input vectors.

11. DC∼10.5 GHz complimentary metal oxide semiconductor distributed amplifier with RC gate terminal network for ultra-wideband pulse radio systems.

12. Activity-driven optimised bus-specific-clock-gating for ultra-low-power smart space applications.

13. State assignment for sequential circuits using multi-objective genetic algorithm.

14. Indicating combinational logic decomposition.

15. Characteristics of signal propagation in magnetic quantum cellular automata circuits.

16. Nanoparticles with logic and numeracy: towards 'computer-on-a-particle' optoelectronic devices.

17. Optical logic elementary circuits.

18. All-optical logic gate in silicon nanowire optical waveguides.

19. Overlap-free Karatsuba–Ofman polynomial multiplication algorithms.

20. 1.2-V, 10-bit, 60–360 MS/s time-interleaved pipelined analog-to-digital converter in 0.18 µm CMOS with minimised supply headroom.

21. A memory-free modified discrete cosine transform architecture for MPEG-2/4 AAC.

22. Cascadable adiabatic logic circuits for low-power applications.

23. High-performance noise-tolerant circuit techniques for CMOS dynamic logic.

24. Multi-input differential current conveyor, CMOS realisation and application.

25. Envelope signal selective emphasis in a polar radio frequency transmitter architecture.

26. Silicon-on-insulator for high-temperature applications.

27. Designing polymorphic circuits with polymorphic gates: a general design approach.

28. Bridging fault diagnostic tool based on ΔIDDQ probabilistic signatures, circuit layout parasitics and logic errors.

29. Robust paradigm for diagnosing hold-time faults in scan chains.

30. Fully differential current-mode third-order Butterworth VHF Gm-C filter in 0.18 μm CMOS.

31. Noise-tolerance improvement in dynamic CMOS logic circuits.

32. Implementation of 0.18 μm RFCMOS technology for system-on-a-chip applications.

33. LSQ: a power efficient and scalable implementation.

34. Efficient reversible and quantum implementations of symmetric Boolean functions.

35. Signed higher-radix full-adder algorithm and implementation with current-mode multi-valued logic circuits.

36. Synthesis tool for low-power finite-state machines with mixed synchronous/asynchronous state memory.

37. A low-power low-noise CMOS analogue multiplier.

38. Leakage power analysis and reduction: models, estimation and tools.

39. Design of an area-efficient CMOS multiple-valued current comparator circuit.

40. Low power high-speed CMOS dual-modulus prescaler design with imbalanced phase-switching technique.

41. Stress tests.

42. TOP: an algorithm for three-level combinational logic optimisation.

43. Energy-efficient self-timed circuit design using supply voltage scaling.

44. Exact computation of maximally dominating faults and its application to n-detection tests for full-scan circuits.

45. No-race charge-recycling complementary pass transistor logic.

46. Behavioural synthesis utilising dynamic memory constructs.

47. Compact representations of logic functions for lossless compression of grey-scale images.

48. Parasitic-compensated quadrature LC oscillator.

49. Threshold voltage based CMOS voltage reference.

50. THEY COME FROM OUTER SPACE.

Catalog

Books, media, physical & digital resources