Search

Your search keyword '"Photoresists"' showing total 107 results

Search Constraints

Start Over You searched for: Descriptor "Photoresists" Remove constraint Descriptor: "Photoresists" Journal journal of vacuum science & technology: part b-microelectronics & nanometer structures Remove constraint Journal: journal of vacuum science & technology: part b-microelectronics & nanometer structures
107 results on '"Photoresists"'

Search Results

1. Photoresist removal using an O2/N2 medium pressure plasma jet with high speed wafer scanning: Unimplanted resist studies.

2. EUV pattern defect detection sensitivity based on aerial image linewidth measurements.

3. Pushing extreme ultraviolet lithography development beyond 22 nm half pitch.

4. Coherent diffraction lithography: Periodic patterns via mask-based interference lithography.

5. Defectivity issues in topcoatless photoresists.

6. Model based optical proximity correction runtime saving with multisegment solver.

7. Alternative developer solutions for extreme ultraviolet resist.

8. Control of the critical dimensions and line edge roughness with pre-organized block copolymer pixelated photoresists.

9. Analysis of thermally activated kinetics and uniformity of photoresist ashing process on 300 mm wafers.

10. Mechanism of reducing line edge roughness in ArF photoresist by using CF3I plasma.

11. Stochastic approach to modeling photoresist development.

12. Dependence of photoresist surface modifications during plasma-based pattern transfer on choice of feedgas composition: Comparison of C4F8- and CF4-based discharges.

13. Low-cost x-ray mask based on micropattern sputtered lead film for x-ray lithography.

14. Reduction effect of line edge roughness on time-dependent dielectric breakdown lifetime of Cu/low-k interconnects by using CF3I etching.

15. Do not always blame the photons: Relationships between deprotection blur, line-edge roughness, and shot noise in extreme ultraviolet photoresists.

16. Quantification of outgassing of C-, Si-, and S-containing products during exposure of photoresists.

17. Lift-off and hybrid applications with ma-n 1405 negative-tone resist.

18. Detailed characterization of hydrogen silsesquioxane for e-beam applications in a dynamic random access memory pilot line environment.

19. Deprotection blur in extreme ultraviolet photoresists: Influence of base loading and post-exposure bake temperature.

20. Plasma-surface interactions of advanced photoresists with C4F8/Ar discharges: Plasma parameter dependencies.

21. Latest results from the SEMATECH Berkeley extreme ultraviolet microfield exposure tool.

22. Metal nanowire fabrication by force microscopy lithography using amorphous arsenic sulfide resist layer.

23. Spatial scaling metrics of mask-induced line-edge roughness.

24. Study of 193 nm photoresist degradation during short time fluorocarbon plasma exposure III. Effect of fluorocarbon film and initial surface condition on photoresist degradation.

25. Projection maskless patterning for nanotechnology applications.

26. Enhancement in hyper-numerical-aperture imaging through selective TM polarization.

27. Application of vector scanning in focused ion beam photomask repair system.

28. Linewidth uniformity in Lloyd’s mirror interference lithography systems.

29. Extreme ultraviolet lithography: Status and prospects.

30. Novel negative-tone molecular resist based on polyphenol derivative for extreme ultraviolet lithography.

31. Dissolution characteristics of chemically amplified extreme ultraviolet resist.

32. Improvement in linewidth roughness by postprocessing.

33. Understanding the effects of photoacid distribution homogeneity and diffusivity on critical dimension control and line edge roughness in chemically amplified resists.

34. Contributions of resist polymers to innate material roughness.

35. Influence of base and photoacid generator on deprotection blur in extreme ultraviolet photoresists and some thoughts on shot noise.

36. Resist charging effect in photomask: Its impact on pattern placement error and critical dimension.

37. Exploration of etch step interactions in the dual patterning process for process modeling.

38. The replication of three dimensional structures using UV curable nanoimprint lithography.

39. Study of 193 nm photoresist degradation during short time fluorocarbon plasma exposure. I. Studies of modified layer formation.

40. Study of 193 nm photoresist degradation during short time fluorocarbon plasma exposures. II. Plasma parameter trends for photoresist degradation.

41. Linewidth roughness transfer measured by critical dimension atomic force microscopy during plasma patterning of polysilicon gate transistors.

42. Deep-UV exposure of poly(methyl methacrylate) at 254 nm using low-pressure mercury vapor lamps.

43. Undercut structure fabricated by complementary-structure micropatterning technique for the passive-matrix display of organic light-emitting diodes.

44. Novel hydrostatic pressuring mechanism for soft UV-imprinting processes.

45. Photoresist characterization using double exposures with interference lithography.

46. Reducing imaging defects in high-resolution photolithography.

47. Nanostructures using self-assembled multilayers as molecular rulers and etch resists.

48. Accurate control of remaining resist depth for nanoscale three-dimensional structures in electron-beam grayscale lithography.

49. Fabrication of spiral-phase diffractive elements using scanning-electron-beam lithography.

50. Nanoscale patterning in high resolution HSQ photoresist by interferometric lithography with tabletop extreme ultraviolet lasers.

Catalog

Books, media, physical & digital resources