Search

Your search keyword '"Yuan Xie"' showing total 17 results

Search Constraints

Start Over You searched for: Author "Yuan Xie" Remove constraint Author: "Yuan Xie" Journal ieee transactions on very large scale integration (vlsi) systems Remove constraint Journal: ieee transactions on very large scale integration (vlsi) systems
17 results on '"Yuan Xie"'

Search Results

1. PXNOR-BNN: In/With Spin-Orbit Torque MRAM Preset-XNOR Operation-Based Binary Neural Networks

2. DASM: Data-Streaming-Based Computing in Nonvolatile Memory Architecture for Embedded System

3. Securing Emerging Nonvolatile Main Memory With Fast and Energy-Efficient AES In-Memory Implementation

4. An Adaptive 3T-3MTJ Memory Cell Design for STT-MRAM-Based LLCs

5. Mitigating BTI-Induced Degradation in STT-MRAM Sensing Schemes

6. Hybrid Drowsy SRAM and STT-RAM Buffer Designs for Dark-Silicon-Aware NoC

7. Electrical Characterization for Intertier Connections and Timing Analysis for 3-D ICs

8. Leakage Power and Circuit Aging Cooptimization by Gate Replacement Techniques

9. Variable-Latency Adder (VL-Adder) Designs for Low Power and NBTI Tolerance

10. Case Study of Reliability-Aware and Low-Power Design

11. Design Space Exploration for 3-D Cache

12. Code Compression for VLIW Embedded Systems Using a Self-Generating Table

13. Code Decompression Unit Design for VLIW Embedded Processors

14. Code compression for embedded VLIW processors using variable-to-fixed coding

15. Case Study of Reliability-Aware and Low-Power Design.

16. Design Space Exploration for 3-D Cache.

17. Code Compression for VLIW Embedded Systems Using a Self-Generating Table.

Catalog

Books, media, physical & digital resources