Search

Your search keyword '"Voelskow, M."' showing total 148 results

Search Constraints

Start Over You searched for: Author "Voelskow, M." Remove constraint Author: "Voelskow, M." Database OpenAIRE Remove constraint Database: OpenAIRE
148 results on '"Voelskow, M."'

Search Results

1. Diffusion of In Atoms in SiO2 Films Implanted with As+ Ions

2. Strain and Band-Gap Engineering in Ge-Sn Alloys via P Doping

3. Electron Paramagnetic Resonance in Ge/Si Heterostructures with Mn-Doped Quantum Dots

4. XAFS Spectroscopy Study of Microstructure and Electronic Structure of Heterosystems Containing Si/GeMn Quantum Dots

5. Controlled Nickel Silicidation of Silicon Nanowires for Fabrication of Reconfigurable Field Effect Transistors

6. Ex situ n+ doping of GeSn alloys via non-equilibrium processing

7. Towards Reconfigurable Field Effect Transistors: Controlled Nickel Silicidation using Flash Lamp Annealing

8. Specific Features of the Ion-Beam Synthesis of Ge Nanocrystals in SiO2 Thin Films

9. Ex-situ doping and Ohmic contact formation with low contact resistance on MBE grown GeSn on Si

10. Optoelectronic properties of ultra-doped Ge fabricated by ion implantation and flash lamp annealing

11. Mid-infrared plasmonic absorption from heavily doped Ge thin films

12. Lateral growth of Ge nanowires and GeOI via millisecond range explosive recrystallization: solid vs. liquid case

13. Electroluminescent properties of Tb-doped carbon-enriched silicon oxide

14. Ионный синтез нанокристаллов InSb в захороненном слое SiO2 структуры кремний-на-изоляторе </br> Ion-beam synthesis of InSb nanocrystals in the buried SiO2 layer of a silicon-on-insulator structure

15. Electroluminescent properties of Tb-doped carbon-enriched silicon oxide

18. P1227 - Verfahren zur kostengünstigeren Herstellung von Silizium Solarzellen, und mit diesem Verfahren hergestellte Solarzellen

19. Crystallization induced by thermal annealing with millisecond pulses in silicon-on-insulator films implanted with high doses of hydrogen ions

20. Crystallization of the high-dose hydrogen ion implanted silicon-on-insulator layers under millisecond pulse annealing

21. Superconductivity in Ge and Si via Ga-ion implantation

22. Conductivity type and crystal orientation of GaAs nanocrystals fabricated in silicon by ion implantation and flash lamp annealing

23. Formation of radiation damage and helium release in yttria-stabilized zirconia

24. Formation of radiation damage and helium release in yttria-stabilized zirconia under dual ion beam irradiation

25. Silicon Films with Gallium Rich Nanograins - from Superconductor to Insulator

28. Superconducting Ga-overdoped Ge layers capped with SiO2 – structural and transport investigations

29. Formation of dendritic structures in thin silicon films on amorphous substrates by high intensity flash lamp annealing

30. Two concepts of introducing thin-film superconductivity in Ge and Si by use of Ga-ion implantation

31. Radiation damage in YSZ simulated by single and double beam ion irradiation

32. Thin silicon films - texture and grain size improvement

33. Conductivity type and crystal orientation of GaAs nanocrystals in silicon

34. Flash lamp processing of III/V nanostructures in silicon

35. Superconducting layers by Ga implantation and short-term annealing in Si

36. Superconductivity in Ga-implanted group-IV semiconductors

37. SiC growth modification and stress reduction in FLASiC assisted liquid phase epitaxy

38. Microstructure analysis at the interface of Er decorated Ge nanocrystals in SiO2

39. The formation of near surface SiGe layers with combined high-dose ion implantation and flash-lamp annealing

40. P0908 - Verfahren zur Herstellung von SiC basierenden Dünnfilm-Solarzellen mit erhöhter Effizienz

41. Superconducting films fabricated by high fluence Ga implantation in Si

42. Microstructure of superconducting films fabricated by high-fluence Ga implantation in Si

43. Structural characterization of buried superconducting Ga rich films in Si

44. Forming an oxidation protective coating on titanium and titanium-base alloys

45. Thin film intermetallic compound formation by flash-lamp annealing: an implication of ultra-fast alloying and metallurgy

46. Gallium-induced thin-film superconductivity in Ge and Si and its possible applications

47. Gallium nanolayers featuring on-chip superconductivity in silicon

48. Degradation of cover SiO2 on Ge during Ga implantation

49. Subsecond melt processing for achieving SiGe surface layers

50. Wear-out phenomena in Si-based light emitting devices with ion beam implanted europium

Catalog

Books, media, physical & digital resources