Search

Your search keyword '"LOGIC circuits"' showing total 1,552 results

Search Constraints

Start Over You searched for: Descriptor "LOGIC circuits" Remove constraint Descriptor: "LOGIC circuits" Database OAIster Remove constraint Database: OAIster
1,552 results on '"LOGIC circuits"'

Search Results

1. Design and Demonstration of a Superconducting Field-Programmable Gate Array Using Adiabatic Quantum-Flux-Parametron Logic and Memory

2. Design and Demonstration of a Superconducting Field-Programmable Gate Array Using Adiabatic Quantum-Flux-Parametron Logic and Memory

3. Circuit topology and synthesis flow co-design for the development of computational ReRAM

4. Transition management for the smooth flight of a small autonomous helicopter

5. Low-capture-power test generation for scan-based at-speed testing

6. At-Speed Logic BIST for IP Cores

7. Proposal of an Adaptive Fault Tolerance Mechanism to Tolerate Intermittent Faults in RAM

8. Proposal of an Adaptive Fault Tolerance Mechanism to Tolerate Intermittent Faults in RAM

9. Efficiency analysis of modern vector architectures: vector ALU sizes, core counts and clock frequencies

10. Support-reducing decomposition for FPGA mapping

11. Fault Modeling of Graphene Nanoribbon FET Logic Circuits

12. Fault Modeling of Graphene Nanoribbon FET Logic Circuits

13. Wave computing with passive memristive networks

14. The Optimisation Of Large Scale Logical Circuits

15. The Optimisation Of Large Scale Logical Circuits

16. The Optimisation Of Large Scale Logical Circuits

18. Fault tolerance in reversible logic

19. Fault tolerance in reversible logic

20. Fault tolerance in reversible logic

21. Fault tolerance in reversible logic

22. Fault tolerance in reversible logic

26. A new hardware logic circuit for evaluating multi-processor chip security

27. Fault tolerance in reversible logic

28. Fault tolerance in reversible logic

29. Fault tolerance in reversible logic

30. Fault tolerance in reversible logic

31. Fault tolerance in reversible logic

32. A hierarchical mathematical model for automatic pipelining and allocation using elastic systems

33. State-based encoding of large asynchronous controllers

35. An experimental approach to Memristive Devices and its applications on Stateful Logic : Design and experimental evaluation of the IMPLY logic gate with Knowm memristors

37. Descriptive complexity of #AC0 functions

38. Nano-CMOS and Post-CMOS Electronics: Circuits and Design

39. Nano-CMOS and Post-CMOS Electronics: Circuits and Design

40. Multi-agent planning under local LTL specifications and event-based synchronization

41. High Gamma Ray Tolerance for 4H-SiC Bipolar Circuits

42. High Gamma Ray Tolerance for 4H-SiC Bipolar Circuits

43. High Gamma Ray Tolerance for 4H-SiC Bipolar Circuits

44. High Gamma Ray Tolerance for 4H-SiC Bipolar Circuits

45. High Gamma Ray Tolerance for 4H-SiC Bipolar Circuits

46. A Synthetic Multicellular Memory Device

47. Improving performance guarantees in wormhole mesh NoC designs

48. More than Moore. Experience on material implication computing with an electromechanical memristor emulator

49. A fast and retargetable framework for logic-IP-internal electromigration assessment comprehending advanced waveform effects

50. Implementing unitary 2-designs using random diagonal-unitary matrices

Catalog

Books, media, physical & digital resources