Search

Showing total 1,072 results

Search Constraints

Start Over You searched for: Topic accelerator Remove constraint Topic: accelerator Publication Year Range Last 50 years Remove constraint Publication Year Range: Last 50 years
1,072 results

Search Results

1. Programming Heterogeneous Architectures Using Hierarchical Tasks

2. Assessing Efficiency Benefits of Edge Intelligence

3. DataRaceOnAccelerator – A Micro-benchmark Suite for Evaluating Correctness Tools Targeting Accelerators

4. Deep Reinforcement Learning for Auto-optimization of I/O Accelerator Parameters

5. Examining Performance Portability with Kokkos for an Ewald Sum Coulomb Solver

6. Advances toward solutions for marine plastic pollution in southern California: Key recommendations of the white papers produced during the 2021 Scripps-Rady Ocean Plastic Pollution Challenge

7. ACCDSE: A Design Space Exploration Framework for Convolutional Neural Network Accelerator

8. Large Scale Graph Processing in a Distributed Environment

9. A Heterogeneous Runtime Environment for Scientific Desktop Computing

10. Resource Aggregation for Task-Based Cholesky Factorization on Top of Heterogeneous Machines

11. Instruction Set Architectures for Quantum Processing Units

12. Directive-Based Compilers for GPUs

13. Snowmass 2021 White Paper: Electron Ion Collider for High Energy Physics

16. Blink: Not Your Father’s Database!

22. Accelerating Attention Mechanism on FPGAs based on Efficient Reconfigurable Systolic Array.

23. Thermal Characterization of Kraft Lignin Phenol-Formaldehyde Resin for Paper Impregnation.

25. Clean Cities ClimAccelerator, a systemic change through co-creation and collaboration.

26. Survey of convolutional neural network accelerators on field-programmable gate array platforms: architectures and optimization techniques.

27. FPGA Optimized Accelerator of DCNN with Fast Data Readout and Multiplier Sharing Strategy.

28. Leveraging Bit-Serial Architectures for Hardware-Oriented Deep Learning Accelerators with Column-Buffering Dataflow.

29. Who am I as an Entrepreneur? Exploring Formation of Entrepreneurial Identity.

30. Standardize or customize business acceleration programs? The multistage model of Archimedes accelerator.

31. CNN Accelerator Using Proposed Diagonal Cyclic Array for Minimizing Memory Accesses.

32. PYNQ Framework Based Object Recognition Implementation Using Convolution Neural Network (CNN).

33. A laser–plasma platform for photon–photon physics: the two photon Breit–Wheeler process

34. SH-GAT: Software-hardware co-design for accelerating graph attention networks on FPGA.

35. Low-latency remote-offloading system for accelerator.

36. Neural network accelerator with fast buffer design for computer vision.

37. Application of Unsymmetrical Ureas as a Catalytic Additive for Curing Epoxy Resins (Review).

38. Intermittent-Aware Design Exploration of Systolic Array Using Various Non-Volatile Memory: A Comparative Study.

39. Investigation into the Operation Modes of RF Systems of Booster–Nuclotron Synchrotrons in Optimizing the Capture and Acceleration of Carbon Ion Beams.

40. High-performance computing for SKA transient search: Use of FPGA-based accelerators

41. Efficient number theoretic transform accelerator for CRYSTALS-Kyber.

42. The Prototype of a Magnetic Field Compensator.

43. Comparative Study of Keccak SHA-3 Implementations.

44. Memory-Tree Based Design of Optical Character Recognition in FPGA.

45. An Improved Match Method of Capacitive Divider for Measurement of Nanosecond-Range HV Pulses.

46. Preparation and performance of phosphogypsum-based polymer foam lightweight soil.

47. 基于深度强化学习的雷达智能抗干扰决策 FPGA 加速器设计.

48. High-Throughput and Power-Efficient Convolutional Neural Network Using One-Pass Processing Elements.

49. Analysis and design of a 2.45 GHz RF power source for a miniature electron cyclotron resonance ion source.

50. THE MAGNETIC FIELD PRODUCED BY A PROTONS BEAM WITH THE THREE-AXIAL GAUSS DISTRIBUTION.